mirror of
https://github.com/YosysHQ/yosys
synced 2025-11-02 12:37:53 +00:00
11 lines
139 B
Text
11 lines
139 B
Text
read_verilog << EOF
|
|
module top(...);
|
|
input wire [31:0] A;
|
|
output wire [31:0] P;
|
|
|
|
assign P = A * 32'h12300000;
|
|
|
|
endmodule
|
|
EOF
|
|
|
|
synth_xilinx
|