mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-12 04:03:45 +00:00
This change set contains a number of bug fixes and improvements related to scoping and resolution in generate and procedural blocks. While many of the frontend changes are interdependent, it may be possible bring the techmap changes in under a separate PR. Declarations within unnamed generate blocks previously encountered issues because the data declarations were left un-prefixed, breaking proper scoping. The LRM outlines behavior for generating names for unnamed generate blocks. The original goal was to add this implicit labelling, but doing so exposed a number of issues downstream. Additional testing highlighted other closely related scope resolution issues, which have been fixed. This change also adds support for block item declarations within unnamed blocks in SystemVerilog mode. 1. Unlabled generate blocks are now implicitly named according to the LRM in `label_genblks`, which is invoked at the beginning of module elaboration 2. The Verilog parser no longer wraps explicitly named generate blocks in a synthetic unnamed generate block to avoid creating extra hierarchy levels where they should not exist 3. The techmap phase now allows special control identifiers to be used outside of the topmost scope, which is necessary because such wires and cells often appear in unlabeled generate blocks, which now prefix the declarations within 4. Some techlibs required modifications because they relied on the previous invalid scope resolution behavior 5. `expand_genblock` has been simplified, now only expanding the outermost scope, completely deferring the inspection and elaboration of nested scopes; names are now resolved by looking in the innermost scope and stepping outward 6. Loop variables now always become localparams during unrolling, allowing them to be resolved and shadowed like any other identifier 7. Identifiers in synthetic function call scopes are now prefixed and resolved in largely the same manner as other blocks before: `$func$\func_01$tests/simple/scopes.blk.v:60$5$\blk\x` after: `\func_01$func$tests/simple/scopes.v:60$5.blk.x` 8. Support identifiers referencing a local generate scope nested more than 1 level deep, i.e. `B.C.x` while within generate scope `A`, or using a prefix of a current or parent scope, i.e. `B.C.D.x` while in `A.B`, `A.B.C`, or `A.B.C.D` 9. Variables can now be declared within unnamed blocks in SystemVerilog mode Addresses the following issues: 656, 2423, 2493
34 lines
725 B
Verilog
34 lines
725 B
Verilog
`default_nettype none
|
|
|
|
module top(inp, out1, out2, out3);
|
|
input wire [31:0] inp;
|
|
|
|
function automatic [31:0] func1;
|
|
input [31:0] inp;
|
|
reg [31:0] idx;
|
|
for (idx = 0; idx < 32; idx = idx + 1) begin : blk
|
|
func1[idx] = (idx & 1'b1) ^ inp[idx];
|
|
end
|
|
endfunction
|
|
|
|
function automatic [31:0] func2;
|
|
input [31:0] inp;
|
|
reg [31:0] idx;
|
|
for (idx = 0; idx < 32; idx = idx + 1) begin : blk
|
|
func2[idx] = (idx & 1'b1) ^ inp[idx];
|
|
end
|
|
endfunction
|
|
|
|
function automatic [31:0] func3;
|
|
localparam A = 32 - 1;
|
|
parameter B = 1 - 0;
|
|
input [31:0] inp;
|
|
func3[A:B] = inp[A:B];
|
|
endfunction
|
|
|
|
output wire [31:0] out1, out2, out3;
|
|
assign out1 = func1(inp);
|
|
assign out2 = func2(inp);
|
|
assign out3 = func3(inp);
|
|
endmodule
|