mirror of
https://github.com/YosysHQ/yosys
synced 2025-10-08 17:01:57 +00:00
11 lines
181 B
Verilog
11 lines
181 B
Verilog
module uut_param_attr (I, O);
|
|
|
|
(* PARAMETER_ATTRIBUTE = "attribute_content" *)
|
|
parameter WIDTH = 1;
|
|
|
|
input wire [WIDTH-1:0] I;
|
|
output wire [WIDTH-1:0] O;
|
|
|
|
assign O = I;
|
|
|
|
endmodule
|