mirror of
				https://github.com/YosysHQ/yosys
				synced 2025-10-20 14:20:32 +00:00 
			
		
		
		
	
		
			
				
	
	
		
			21 lines
		
	
	
	
		
			295 B
		
	
	
	
		
			Text
		
	
	
	
	
	
			
		
		
	
	
			21 lines
		
	
	
	
		
			295 B
		
	
	
	
		
			Text
		
	
	
	
	
	
| read_verilog -sv <<EOT
 | |
| module doubleslash
 | |
|   (input  logic   a,
 | |
|    input  logic   b,
 | |
|    output logic   z);
 | |
| 
 | |
|   logic \a//b ;
 | |
| 
 | |
|   assign \a//b = a & b;
 | |
|   assign z = ~\a//b ;
 | |
| 
 | |
| endmodule : doubleslash
 | |
| EOT
 | |
| 
 | |
| hierarchy
 | |
| proc
 | |
| opt -full
 | |
| 
 | |
| write_verilog doubleslash.v
 | |
| design -reset
 | |
| read_verilog doubleslash.v
 |