mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-17 16:52:16 +00:00
15 lines
326 B
Text
15 lines
326 B
Text
read_verilog -sv <<EOT
|
|
module smoke_initstate (
|
|
input resetn,
|
|
input clk,
|
|
input a
|
|
);
|
|
always @(posedge clk) begin
|
|
assert property ($stable(a));
|
|
assert property ($changed(a));
|
|
assert property ($rose(a));
|
|
assert property ($fell(a));
|
|
assume(resetn == !$initstate);
|
|
end
|
|
endmodule
|
|
EOT
|