3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-11-26 07:29:36 +00:00
yosys/tests/sim/tb/tb_dff.v
Miodrag Milanovic 271ac28b41 Added test cases
2022-02-16 13:27:59 +01:00

47 lines
440 B
Verilog
Executable file

`timescale 1ns/1ns
module tb_dff();
reg clk = 0;
reg d = 0;
wire q;
dff uut(.clk(clk),.d(d),.q(q));
always
#(5) clk <= !clk;
initial
begin
$dumpfile("tb_dff");
$dumpvars(0,tb_dff);
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
$finish;
end
endmodule