mirror of
https://github.com/YosysHQ/yosys
synced 2025-11-10 16:12:04 +00:00
10 lines
161 B
Text
10 lines
161 B
Text
read_verilog -sv <<EOT
|
|
module test(input wire A);
|
|
localparam TEST = 1;
|
|
always_comb begin
|
|
case (A)
|
|
TEST: assert(1);
|
|
endcase
|
|
end
|
|
endmodule
|
|
EOT
|