3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-07-29 23:43:16 +00:00
yosys/tests/simple/localparam_attr.v
Maciej Kurc 1f52332b8d Added tests for Verilog frontent for attributes on parameters and localparams
Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
2019-05-16 12:53:43 +02:00

11 lines
188 B
Verilog

module uut_localparam_attr (I, O);
(* LOCALPARAM_ATTRIBUTE = "attribute_content" *)
localparam WIDTH = 1;
input wire [WIDTH-1:0] I;
output wire [WIDTH-1:0] O;
assign O = I;
endmodule