mirror of
https://github.com/YosysHQ/yosys
synced 2025-09-03 16:48:07 +00:00
19 lines
256 B
Systemverilog
19 lines
256 B
Systemverilog
module top (
|
|
input clk,
|
|
input a, b, c, d
|
|
);
|
|
default clocking @(posedge clk); endclocking
|
|
|
|
assert property (
|
|
a ##[*] b |=> c until d
|
|
);
|
|
|
|
`ifndef FAIL
|
|
assume property (
|
|
b |=> ##5 d
|
|
);
|
|
assume property (
|
|
b || (c && !d) |=> c
|
|
);
|
|
`endif
|
|
endmodule
|