mirror of
https://github.com/YosysHQ/yosys
synced 2025-09-17 15:11:30 +00:00
- Modules with a parameter without a default value will be automatically deferred until the hierarchy pass - Allows for parameters without defaults as module items, rather than just int the `parameter_port_list`, despite being forbidden in the LRM - Check for parameters without defaults that haven't been overriden - Add location info to parameter/localparam declarations
12 lines
236 B
Text
12 lines
236 B
Text
read_verilog -sv <<EOF
|
|
module Example #(
|
|
parameter X, Y
|
|
);
|
|
endmodule
|
|
module top;
|
|
Example #(.Y(1)) e();
|
|
endmodule
|
|
EOF
|
|
|
|
logger -expect error "Parameter `\\X' has no default value and has not been overridden!" 1
|
|
hierarchy -top top
|