3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-05-05 14:55:47 +00:00
yosys/tests/techmap/buf.ys
2025-03-07 16:08:38 +01:00

13 lines
241 B
Text

read_verilog -icells <<EOF
module top(input wire [2:0] a, output wire [2:0] y);
\$buf #(.WIDTH(3)) b(.A(a), .Y(y));
endmodule
EOF
design -save save
opt_clean
select -assert-none t:$buf
design -load save
techmap
select -assert-none t:$buf