mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-02 17:30:24 +00:00
9 lines
196 B
Tcl
9 lines
196 B
Tcl
read_xdc example.xdc
|
|
read_edif example.edif
|
|
link_design -part xc7a35tcpg236-1 -top example
|
|
opt_design
|
|
place_design
|
|
route_design
|
|
report_utilization
|
|
report_timing
|
|
write_bitstream -force example.bit
|