mirror of
https://github.com/YosysHQ/yosys
synced 2025-12-20 11:13:43 +00:00
This change set contains a number of bug fixes and improvements related to
scoping and resolution in generate and procedural blocks. While many of the
frontend changes are interdependent, it may be possible bring the techmap
changes in under a separate PR.
Declarations within unnamed generate blocks previously encountered issues
because the data declarations were left un-prefixed, breaking proper scoping.
The LRM outlines behavior for generating names for unnamed generate blocks. The
original goal was to add this implicit labelling, but doing so exposed a number
of issues downstream. Additional testing highlighted other closely related scope
resolution issues, which have been fixed. This change also adds support for
block item declarations within unnamed blocks in SystemVerilog mode.
1. Unlabled generate blocks are now implicitly named according to the LRM in
`label_genblks`, which is invoked at the beginning of module elaboration
2. The Verilog parser no longer wraps explicitly named generate blocks in a
synthetic unnamed generate block to avoid creating extra hierarchy levels
where they should not exist
3. The techmap phase now allows special control identifiers to be used outside
of the topmost scope, which is necessary because such wires and cells often
appear in unlabeled generate blocks, which now prefix the declarations within
4. Some techlibs required modifications because they relied on the previous
invalid scope resolution behavior
5. `expand_genblock` has been simplified, now only expanding the outermost
scope, completely deferring the inspection and elaboration of nested scopes;
names are now resolved by looking in the innermost scope and stepping outward
6. Loop variables now always become localparams during unrolling, allowing them
to be resolved and shadowed like any other identifier
7. Identifiers in synthetic function call scopes are now prefixed and resolved
in largely the same manner as other blocks
before: `$func$\func_01$tests/simple/scopes.blk.v:60$5$\blk\x`
after: `\func_01$func$tests/simple/scopes.v:60$5.blk.x`
8. Support identifiers referencing a local generate scope nested more
than 1 level deep, i.e. `B.C.x` while within generate scope `A`, or using a
prefix of a current or parent scope, i.e. `B.C.D.x` while in `A.B`, `A.B.C`,
or `A.B.C.D`
9. Variables can now be declared within unnamed blocks in SystemVerilog mode
Addresses the following issues: 656, 2423, 2493
129 lines
5.1 KiB
Verilog
129 lines
5.1 KiB
Verilog
// This pass performs an optimisation that decomposes wide arithmetic
|
|
// comparisons into LUT-size chunks (as guided by the `LUT_WIDTH
|
|
// macro) connected to a single lookahead-carry-unit $lcu cell,
|
|
// which is typically mapped to dedicated (and fast) FPGA
|
|
// carry-chains.
|
|
(* techmap_celltype = "$lt $le $gt $ge" *)
|
|
module _80_lcu_cmp_ (A, B, Y);
|
|
|
|
parameter A_SIGNED = 0;
|
|
parameter B_SIGNED = 0;
|
|
parameter A_WIDTH = 0;
|
|
parameter B_WIDTH = 0;
|
|
parameter Y_WIDTH = 0;
|
|
|
|
(* force_downto *)
|
|
input [A_WIDTH-1:0] A;
|
|
(* force_downto *)
|
|
input [B_WIDTH-1:0] B;
|
|
(* force_downto *)
|
|
output [Y_WIDTH-1:0] Y;
|
|
|
|
parameter _TECHMAP_CELLTYPE_ = "";
|
|
|
|
generate
|
|
if (_TECHMAP_CELLTYPE_ == "" || `LUT_WIDTH < 2)
|
|
wire _TECHMAP_FAIL_ = 1;
|
|
else if (_TECHMAP_CELLTYPE_ == "$lt") begin
|
|
// Transform $lt into $gt by swapping A and B
|
|
$gt #(.A_SIGNED(B_SIGNED), .B_SIGNED(A_SIGNED), .A_WIDTH(B_WIDTH), .B_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH)) _TECHMAP_REPLACE_ (.A(B), .B(A), .Y(Y));
|
|
end
|
|
else if (_TECHMAP_CELLTYPE_ == "$le") begin
|
|
// Transform $le into $ge by swapping A and B
|
|
$ge #(.A_SIGNED(B_SIGNED), .B_SIGNED(A_SIGNED), .A_WIDTH(B_WIDTH), .B_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH)) _TECHMAP_REPLACE_ (.A(B), .B(A), .Y(Y));
|
|
end
|
|
else begin
|
|
// Perform sign extension on A and B
|
|
localparam WIDTH = A_WIDTH > B_WIDTH ? A_WIDTH : B_WIDTH;
|
|
(* force_downto *)
|
|
wire [WIDTH-1:0] AA = {{(WIDTH-A_WIDTH){A_SIGNED ? A[A_WIDTH-1] : 1'b0}}, A};
|
|
(* force_downto *)
|
|
wire [WIDTH-1:0] BB = {{(WIDTH-B_WIDTH){B_SIGNED ? B[B_WIDTH-1] : 1'b0}}, B};
|
|
// For $ge operation, start with the assumption that A and B are
|
|
// equal (propagating this equality if A and B turn out to be so)
|
|
localparam CI = _TECHMAP_CELLTYPE_ == "$ge";
|
|
$__CMP2LCU #(.AB_WIDTH(WIDTH), .AB_SIGNED(A_SIGNED && B_SIGNED), .LCU_WIDTH(1), .BUDGET(`LUT_WIDTH), .CI(CI))
|
|
_TECHMAP_REPLACE_ (.A(AA), .B(BB), .P(1'b1), .G(1'b0), .Y(Y));
|
|
end
|
|
endgenerate
|
|
endmodule
|
|
|
|
module $__CMP2LCU (A, B, P, G, Y);
|
|
|
|
parameter AB_WIDTH = 0;
|
|
parameter AB_SIGNED = 0;
|
|
parameter LCU_WIDTH = 1;
|
|
parameter BUDGET = 0;
|
|
parameter CI = 0;
|
|
|
|
(* force_downto *)
|
|
input [AB_WIDTH-1:0] A; // A from original $gt/$ge
|
|
(* force_downto *)
|
|
input [AB_WIDTH-1:0] B; // B from original $gt/$ge
|
|
(* force_downto *)
|
|
input [LCU_WIDTH-1:0] P; // P of $lcu
|
|
(* force_downto *)
|
|
input [LCU_WIDTH-1:0] G; // G of $lcu
|
|
output Y;
|
|
|
|
parameter [AB_WIDTH-1:0] _TECHMAP_CONSTMSK_A_ = 0;
|
|
parameter [AB_WIDTH-1:0] _TECHMAP_CONSTMSK_B_ = 0;
|
|
parameter [LCU_WIDTH-1:0] _TECHMAP_CONSTMSK_P_ = 0;
|
|
|
|
generate
|
|
if (AB_WIDTH == 0) begin
|
|
(* force_downto *)
|
|
wire [LCU_WIDTH-1:0] CO;
|
|
$lcu #(.WIDTH(LCU_WIDTH)) _TECHMAP_REPLACE_ (.P(P), .G(G), .CI(CI), .CO(CO));
|
|
assign Y = CO[LCU_WIDTH-1];
|
|
end
|
|
else begin
|
|
localparam COST =
|
|
_TECHMAP_CONSTMSK_A_[AB_WIDTH-1:0] && _TECHMAP_CONSTMSK_B_[AB_WIDTH-1:0]
|
|
? 0
|
|
: (_TECHMAP_CONSTMSK_A_[AB_WIDTH-1:0] || _TECHMAP_CONSTMSK_B_[AB_WIDTH-1:0]
|
|
? 1
|
|
: 2);
|
|
|
|
if (BUDGET < COST)
|
|
$__CMP2LCU #(.AB_WIDTH(AB_WIDTH), .AB_SIGNED(AB_SIGNED), .LCU_WIDTH(LCU_WIDTH+1), .BUDGET(`LUT_WIDTH), .CI(CI))
|
|
_TECHMAP_REPLACE_ (.A(A), .B(B), .P({P, 1'b1}), .G({G, 1'b0}), .Y(Y));
|
|
else begin
|
|
wire PP, GG;
|
|
// Bit-wise equality (xnor) of A and B
|
|
assign PP = A[AB_WIDTH-1] ^~ B[AB_WIDTH-1];
|
|
if (AB_SIGNED)
|
|
assign GG = ~A[AB_WIDTH-1] & B[AB_WIDTH-1];
|
|
else if (_TECHMAP_CONSTMSK_P_[LCU_WIDTH-1]) // First compare for LUT if P (and G) is constant
|
|
assign GG = A[AB_WIDTH-1] & ~B[AB_WIDTH-1];
|
|
else
|
|
// Priority "encoder" that checks A[i] == 1'b1 && B[i] == 1'b0
|
|
// from MSB down, deferring to less significant bits if the
|
|
// MSBs are equal
|
|
assign GG = P[0] & (A[AB_WIDTH-1] & ~B[AB_WIDTH-1]);
|
|
(* force_downto *)
|
|
wire [LCU_WIDTH-1:0] P_, G_;
|
|
if (LCU_WIDTH == 1) begin
|
|
// Propagate only if all pairs are equal
|
|
// (inconclusive evidence to say A >= B)
|
|
assign P_ = P[0] & PP;
|
|
// Generate if any comparisons call for it
|
|
assign G_ = G[0] | GG;
|
|
end
|
|
else begin
|
|
// Propagate only if all pairs are equal
|
|
// (inconclusive evidence to say A >= B)
|
|
assign P_ = {P[LCU_WIDTH-1:1], P[0] & PP};
|
|
// Generate if any comparisons call for it
|
|
assign G_ = {G[LCU_WIDTH-1:1], G[0] | GG};
|
|
end
|
|
if (AB_WIDTH == 1)
|
|
$__CMP2LCU #(.AB_WIDTH(AB_WIDTH-1), .AB_SIGNED(1'b0), .LCU_WIDTH(LCU_WIDTH), .BUDGET(BUDGET-COST), .CI(CI))
|
|
_TECHMAP_REPLACE_ (.A(), .B(), .P(P_), .G(G_), .Y(Y));
|
|
else
|
|
$__CMP2LCU #(.AB_WIDTH(AB_WIDTH-1), .AB_SIGNED(1'b0), .LCU_WIDTH(LCU_WIDTH), .BUDGET(BUDGET-COST), .CI(CI))
|
|
_TECHMAP_REPLACE_ (.A(A[AB_WIDTH-2:0]), .B(B[AB_WIDTH-2:0]), .P(P_), .G(G_), .Y(Y));
|
|
end
|
|
end
|
|
endgenerate
|
|
endmodule
|