mirror of
https://github.com/YosysHQ/yosys
synced 2025-12-07 12:32:25 +00:00
11 lines
188 B
Verilog
11 lines
188 B
Verilog
module uut_localparam_attr (I, O);
|
|
|
|
(* LOCALPARAM_ATTRIBUTE = "attribute_content" *)
|
|
localparam WIDTH = 1;
|
|
|
|
input wire [WIDTH-1:0] I;
|
|
output wire [WIDTH-1:0] O;
|
|
|
|
assign O = I;
|
|
|
|
endmodule
|