mirror of
https://github.com/YosysHQ/yosys
synced 2025-12-08 12:53:26 +00:00
* Add close bracket * Add testcase * Replace cell type/param if in unmap_design * Improve abc9_box error message too * Update comment as per review
7 lines
268 B
Text
7 lines
268 B
Text
read_verilog -icells <<EOF
|
|
module top(input c, r, input [1:0] d, output reg [1:0] q);
|
|
TRELLIS_FF #(.REGSET("SET")) ff1(.CLK(c), .LSR(r), .DI(d[0]), .Q(q[0]));
|
|
TRELLIS_FF #(.REGSET("SET")) ff2(.CLK(c), .LSR(r), .DI(d[1]), .Q(q[1]));
|
|
endmodule
|
|
EOF
|
|
synth_ecp5 -abc9 -dff
|