mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-26 04:56:05 +00:00
19 lines
220 B
Systemverilog
19 lines
220 B
Systemverilog
module top (
|
|
input clk,
|
|
input a, b
|
|
);
|
|
default clocking @(posedge clk); endclocking
|
|
|
|
assert property (
|
|
$changed(b)
|
|
);
|
|
|
|
wire x = 'x;
|
|
|
|
`ifndef FAIL
|
|
assume property (
|
|
b !== x ##1 $changed(b)
|
|
);
|
|
`endif
|
|
|
|
endmodule
|