mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-15 21:38:45 +00:00
12 lines
448 B
Plaintext
12 lines
448 B
Plaintext
read_verilog alu.v
|
|
hierarchy -top top
|
|
proc
|
|
flatten
|
|
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
|
|
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
|
cd top # Constrain all select calls below inside the top module
|
|
select -assert-count 62 t:SB_CARRY
|
|
select -assert-count 32 t:SB_DFF
|
|
select -assert-count 655 t:SB_LUT4
|
|
select -assert-none t:SB_CARRY t:SB_DFF t:SB_LUT4 %% t:* %D
|