3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-04-05 17:14:08 +00:00
yosys/tests/arch/ecp5/bug2409.ys
Marcelina Kościelnicka eb76d35e80 memory_dff: Fix needlessly duplicating enable bits.
When the register being merged into the EN signal happens to be a $sdff,
the current code creates a new $mux for every bit, even if they happen
to be identical (as is usually the case), preventing proper grouping
further down the flow.  Fix this by adding a simple cache.

Fixes #2409.
2020-10-22 13:03:42 +02:00

25 lines
285 B
Plaintext

read_verilog <<EOT
module t (...);
input CLK;
input [10:0] A;
input WE;
input C;
input [7:0] DI;
output reg [7:0] DO;
reg [7:0] mem[2047:0];
always @(posedge CLK) begin
if (C)
if (WE)
mem[A] <= DI;
DO <= mem[A];
end
endmodule
EOT
synth_ecp5
select -assert-count 1 t:DP16KD