3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-10-02 05:59:31 +00:00
yosys/tests/verilog/fcall_smoke.ys
2025-08-12 12:59:31 +02:00

15 lines
326 B
Text

read_verilog -sv <<EOT
module smoke_initstate (
input resetn,
input clk,
input a
);
always @(posedge clk) begin
assert property ($stable(a));
assert property ($changed(a));
assert property ($rose(a));
assert property ($fell(a));
assume(resetn == !$initstate);
end
endmodule
EOT