mirror of
https://github.com/YosysHQ/yosys
synced 2026-01-23 10:34:00 +00:00
Remove unnecessary common.v(assertions for testbenches).
This commit is contained in:
parent
8e3abda193
commit
eb0a5b2293
1 changed files with 0 additions and 47 deletions
|
|
@ -1,47 +0,0 @@
|
|||
module assert_dff(input clk, input test, input pat);
|
||||
always @(posedge clk)
|
||||
begin
|
||||
#1;
|
||||
if (test != pat)
|
||||
begin
|
||||
$display("ERROR: ASSERTION FAILED in %m:",$time);
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
endmodule
|
||||
|
||||
module assert_tri(input en, input A, input B);
|
||||
always @(posedge en)
|
||||
begin
|
||||
#1;
|
||||
if (A !== B)
|
||||
begin
|
||||
$display("ERROR: ASSERTION FAILED in %m:",$time," ",A," ",B);
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
endmodule
|
||||
|
||||
module assert_Z(input clk, input A);
|
||||
always @(posedge clk)
|
||||
begin
|
||||
#1;
|
||||
if (A === 1'bZ)
|
||||
begin
|
||||
$display("ERROR: ASSERTION FAILED in %m:",$time," ",A);
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
endmodule
|
||||
|
||||
module assert_comb(input A, input B);
|
||||
always @(*)
|
||||
begin
|
||||
#1;
|
||||
if (A !== B)
|
||||
begin
|
||||
$display("ERROR: ASSERTION FAILED in %m:",$time," ",A," ",B);
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
endmodule
|
||||
Loading…
Add table
Add a link
Reference in a new issue