From e49903f8b1dda5986cc51f756be3fb9feeadff2b Mon Sep 17 00:00:00 2001 From: Krystine Sherwin <93062060+KrystalDelusion@users.noreply.github.com> Date: Mon, 30 Oct 2023 10:57:22 +1300 Subject: [PATCH] List all synth commands on synth page --- .../using_yosys/more_scripting/synth.rst | 31 +++++++++++++++++++ 1 file changed, 31 insertions(+) diff --git a/docs/source/using_yosys/more_scripting/synth.rst b/docs/source/using_yosys/more_scripting/synth.rst index ad01d1630..4e6a2cce1 100644 --- a/docs/source/using_yosys/more_scripting/synth.rst +++ b/docs/source/using_yosys/more_scripting/synth.rst @@ -1,9 +1,40 @@ Introduction to synthesis ------------------------- +The generic ``synth`` +~~~~~~~~~~~~~~~~~~~~~ + The following commands are executed by the :cmd:ref:`synth` command: .. literalinclude:: /cmd/synth.rst :start-at: begin: :end-before: .. raw:: latex :dedent: + +Packaged ``synth_*`` commands +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +.. todo:: are all these synth commands supported? + +The following is a list of all synth commands included in Yosys for different +platforms. Each command runs a script of sub commands specific to the platform +being targeted. + +- :doc:`/cmd/synth_achronix` +- :doc:`/cmd/synth_anlogic` +- :doc:`/cmd/synth_coolrunner2` +- :doc:`/cmd/synth_easic` +- :doc:`/cmd/synth_ecp5` +- :doc:`/cmd/synth_efinix` +- :doc:`/cmd/synth_fabulous` +- :doc:`/cmd/synth_gatemate` +- :doc:`/cmd/synth_gowin` +- :doc:`/cmd/synth_greenpak4` +- :doc:`/cmd/synth_ice40` +- :doc:`/cmd/synth_intel` +- :doc:`/cmd/synth_intel_alm` +- :doc:`/cmd/synth_machxo2` +- :doc:`/cmd/synth_nexus` +- :doc:`/cmd/synth_quicklogic` +- :doc:`/cmd/synth_sf2` +- :doc:`/cmd/synth_xilinx`