mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-23 17:15:33 +00:00
Merge branch 'YosysHQ:main' into main
This commit is contained in:
commit
e360511339
7 changed files with 600 additions and 76 deletions
13
tests/techmap/buf.ys
Normal file
13
tests/techmap/buf.ys
Normal file
|
@ -0,0 +1,13 @@
|
|||
read_verilog -icells <<EOF
|
||||
module top(input wire [2:0] a, output wire [2:0] y);
|
||||
\$buf #(.WIDTH(3)) b(.A(a), .Y(y));
|
||||
endmodule
|
||||
EOF
|
||||
design -save save
|
||||
|
||||
opt_clean
|
||||
select -assert-none t:$buf
|
||||
|
||||
design -load save
|
||||
techmap
|
||||
select -assert-none t:$buf
|
Loading…
Add table
Add a link
Reference in a new issue