3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-04-23 00:55:32 +00:00

Merge pull request #2615 from zachjs/genrtlil-conflict

genrtlil: improve name conflict error messaging
This commit is contained in:
whitequark 2021-03-01 08:10:19 -08:00 committed by GitHub
commit ca5f5ffcd6
No known key found for this signature in database
GPG key ID: 4AEE18F83AFDEB23
7 changed files with 93 additions and 12 deletions

View file

@ -0,0 +1,8 @@
logger -expect error "Cannot add procedural assertion `\\x' because a signal with the same name was already created" 1
read_verilog -sv <<EOT
module top;
wire x, y;
always @*
x: assert(y == 1);
endmodule
EOT

View file

@ -0,0 +1,9 @@
logger -expect error "Cannot add cell `\\x' because a memory with the same name was already created" 1
read_verilog <<EOT
module mod;
endmodule
module top;
reg [2:0] x [0:0];
mod x();
endmodule
EOT

View file

@ -0,0 +1,17 @@
logger -expect error "Cannot add interface port `\\i' because a signal with the same name was already created" 1
read_verilog -sv <<EOT
interface intf;
logic x;
assign x = 1;
modport m(input x);
endinterface
module mod(intf.m i);
wire x;
assign x = i.x;
wire i;
endmodule
module top;
intf i();
mod m(i);
endmodule
EOT

View file

@ -0,0 +1,7 @@
logger -expect error "Cannot add memory `\\x' because a signal with the same name was already created" 1
read_verilog <<EOT
module top;
reg [2:0] x;
reg [2:0] x [0:0];
endmodule
EOT

View file

@ -0,0 +1,8 @@
logger -expect error "Cannot add pwire `\\x' because a signal with the same name was already created" 1
read_verilog -pwires <<EOT
module top;
wire x;
assign x = 1;
localparam x = 2;
endmodule
EOT

View file

@ -0,0 +1,7 @@
logger -expect error "Cannot add signal `\\x' because a memory with the same name was already created" 1
read_verilog <<EOT
module top;
reg [2:0] x [0:0];
reg [2:0] x;
endmodule
EOT