mirror of
				https://github.com/YosysHQ/yosys
				synced 2025-10-31 11:42:30 +00:00 
			
		
		
		
	Call equiv_opt with -multiclock and -assert
This commit is contained in:
		
							parent
							
								
									22fe931c86
								
							
						
					
					
						commit
						c082329af3
					
				
					 5 changed files with 5 additions and 5 deletions
				
			
		|  | @ -2,7 +2,7 @@ read_verilog ../common/counter.v | |||
| hierarchy -top top | ||||
| proc | ||||
| flatten | ||||
| equiv_opt -map +/anlogic/cells_sim.v synth_anlogic # equivalency check | ||||
| equiv_opt -assert -multiclock -map +/anlogic/cells_sim.v synth_anlogic # equivalency check | ||||
| design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) | ||||
| cd top # Constrain all select calls below inside the top module | ||||
| 
 | ||||
|  |  | |||
|  | @ -2,7 +2,7 @@ read_verilog ../common/counter.v | |||
| hierarchy -top top | ||||
| proc | ||||
| flatten | ||||
| equiv_opt -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check | ||||
| equiv_opt -assert -multiclock -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check | ||||
| design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) | ||||
| cd top # Constrain all select calls below inside the top module | ||||
| select -assert-count 4 t:CCU2C | ||||
|  |  | |||
|  | @ -2,7 +2,7 @@ read_verilog ../common/counter.v | |||
| hierarchy -top top | ||||
| proc | ||||
| flatten | ||||
| equiv_opt -map +/efinix/cells_sim.v synth_efinix # equivalency check | ||||
| equiv_opt -assert -multiclock -map +/efinix/cells_sim.v synth_efinix # equivalency check | ||||
| design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) | ||||
| cd top # Constrain all select calls below inside the top module | ||||
| 
 | ||||
|  |  | |||
|  | @ -2,7 +2,7 @@ read_verilog ../common/counter.v | |||
| hierarchy -top top | ||||
| proc | ||||
| flatten | ||||
| equiv_opt -map +/gowin/cells_sim.v synth_gowin # equivalency check | ||||
| equiv_opt -assert -multiclock -map +/gowin/cells_sim.v synth_gowin # equivalency check | ||||
| design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) | ||||
| cd top # Constrain all select calls below inside the top module | ||||
| 
 | ||||
|  |  | |||
|  | @ -2,7 +2,7 @@ read_verilog ../common/counter.v | |||
| hierarchy -top top | ||||
| proc | ||||
| flatten | ||||
| equiv_opt -map +/ice40/cells_sim.v synth_ice40 # equivalency check | ||||
| equiv_opt -assert -multiclock -map +/ice40/cells_sim.v synth_ice40 # equivalency check | ||||
| design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) | ||||
| cd top # Constrain all select calls below inside the top module | ||||
| select -assert-count 6 t:SB_CARRY | ||||
|  |  | |||
		Loading…
	
	Add table
		Add a link
		
	
		Reference in a new issue