3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-09-11 12:11:27 +00:00

Update kernel/constids.inc to include all literal ids

I've used this shell command to obtain the list:

    rg -I -t cpp -t yacc -o \
        'ID\((\$?[a-zA-Z0-9_]+)\)|ID::($?[a-zA-Z0-9_]+)' -r 'X($1$2)' \
        | LC_ALL=C sort -u

This removed the entries X(_TECHMAP_FAIL_) and X(nomem2init).
This commit is contained in:
Jannis Harder 2025-09-08 13:07:40 +02:00
parent 0068b71558
commit b9315dc6a5

View file

@ -1,62 +1,418 @@
// These must be in perfect ASCII order!!!
X($_ALDFFE_NNN_)
X($_ALDFFE_NNP_)
X($_ALDFFE_NPN_)
X($_ALDFFE_NPP_)
X($_ALDFFE_PNN_)
X($_ALDFFE_PNP_)
X($_ALDFFE_PPN_)
X($_ALDFFE_PPP_)
X($_ALDFF_NN_)
X($_ALDFF_NP_)
X($_ALDFF_PN_)
X($_ALDFF_PP_)
X($_ANDNOT_)
X($_AND_)
X($_AOI3_)
X($_AOI4_)
X($_BUF_)
X($_DFFE_NN0N_)
X($_DFFE_NN0P_)
X($_DFFE_NN1N_)
X($_DFFE_NN1P_)
X($_DFFE_NN_)
X($_DFFE_NP0N_)
X($_DFFE_NP0P_)
X($_DFFE_NP1N_)
X($_DFFE_NP1P_)
X($_DFFE_NP_)
X($_DFFE_PN0N_)
X($_DFFE_PN0P_)
X($_DFFE_PN1N_)
X($_DFFE_PN1P_)
X($_DFFE_PN_)
X($_DFFE_PP0N_)
X($_DFFE_PP0P_)
X($_DFFE_PP1N_)
X($_DFFE_PP1P_)
X($_DFFE_PP_)
X($_DFFSRE_NNNN_)
X($_DFFSRE_NNNP_)
X($_DFFSRE_NNPN_)
X($_DFFSRE_NNPP_)
X($_DFFSRE_NPNN_)
X($_DFFSRE_NPNP_)
X($_DFFSRE_NPPN_)
X($_DFFSRE_NPPP_)
X($_DFFSRE_PNNN_)
X($_DFFSRE_PNNP_)
X($_DFFSRE_PNPN_)
X($_DFFSRE_PNPP_)
X($_DFFSRE_PPNN_)
X($_DFFSRE_PPNP_)
X($_DFFSRE_PPPN_)
X($_DFFSRE_PPPP_)
X($_DFFSR_NNN_)
X($_DFFSR_NNP_)
X($_DFFSR_NPN_)
X($_DFFSR_NPP_)
X($_DFFSR_PNN_)
X($_DFFSR_PNP_)
X($_DFFSR_PPN_)
X($_DFFSR_PPP_)
X($_DFF_N)
X($_DFF_NN0_)
X($_DFF_NN1_)
X($_DFF_NP0_)
X($_DFF_NP1_)
X($_DFF_N_)
X($_DFF_PN0_)
X($_DFF_PN1_)
X($_DFF_PP0_)
X($_DFF_PP1_)
X($_DFF_P_)
X($_DLATCHSR_NNN_)
X($_DLATCHSR_NNP_)
X($_DLATCHSR_NPN_)
X($_DLATCHSR_NPP_)
X($_DLATCHSR_PNN_)
X($_DLATCHSR_PNP_)
X($_DLATCHSR_PPN_)
X($_DLATCHSR_PPP_)
X($_DLATCH_NN0_)
X($_DLATCH_NN1_)
X($_DLATCH_NP0_)
X($_DLATCH_NP1_)
X($_DLATCH_N_)
X($_DLATCH_PN0_)
X($_DLATCH_PN1_)
X($_DLATCH_PP0_)
X($_DLATCH_PP1_)
X($_DLATCH_P_)
X($_FF_)
X($_MUX16_)
X($_MUX4_)
X($_MUX8_)
X($_MUX_)
X($_NAND_)
X($_NMUX_)
X($_NOR_)
X($_NOT_)
X($_OAI3_)
X($_OAI4_)
X($_ORNOT_)
X($_OR_)
X($_SDFFCE_NN0N_)
X($_SDFFCE_NN0P_)
X($_SDFFCE_NN1N_)
X($_SDFFCE_NN1P_)
X($_SDFFCE_NP0N_)
X($_SDFFCE_NP0P_)
X($_SDFFCE_NP1N_)
X($_SDFFCE_NP1P_)
X($_SDFFCE_PN0N_)
X($_SDFFCE_PN0P_)
X($_SDFFCE_PN1N_)
X($_SDFFCE_PN1P_)
X($_SDFFCE_PP0N_)
X($_SDFFCE_PP0P_)
X($_SDFFCE_PP1N_)
X($_SDFFCE_PP1P_)
X($_SDFFE_NN0N_)
X($_SDFFE_NN0P_)
X($_SDFFE_NN1N_)
X($_SDFFE_NN1P_)
X($_SDFFE_NP0N_)
X($_SDFFE_NP0P_)
X($_SDFFE_NP1N_)
X($_SDFFE_NP1P_)
X($_SDFFE_PN0N_)
X($_SDFFE_PN0P_)
X($_SDFFE_PN1N_)
X($_SDFFE_PN1P_)
X($_SDFFE_PP0N_)
X($_SDFFE_PP0P_)
X($_SDFFE_PP1N_)
X($_SDFFE_PP1P_)
X($_SDFF_NN0_)
X($_SDFF_NN1_)
X($_SDFF_NP0_)
X($_SDFF_NP1_)
X($_SDFF_PN0_)
X($_SDFF_PN1_)
X($_SDFF_PP0_)
X($_SDFF_PP1_)
X($_SR_NN_)
X($_SR_NP_)
X($_SR_PN_)
X($_SR_PP_)
X($_TBUF_)
X($_XNOR_)
X($_XOR_)
X($__ABC9_DELAY)
X($__ABC9_SCC_BREAKER)
X($__CC_NOT)
X($__COUNT_)
X($__ICE40_CARRY_WRAPPER)
X($__QLF_TDP36K)
X($__QLF_TDP36K_MERGED)
X($__XILINX_SHREG_)
X($abc9_flops)
X($add)
X($adff)
X($adffe)
X($adlatch)
X($aldff)
X($aldffe)
X($allconst)
X($allseq)
X($alu)
X($and)
X($anyconst)
X($anyinit)
X($anyseq)
X($assert)
X($assume)
X($bmux)
X($buf)
X($bugpoint)
X($bweq)
X($bweqx)
X($bwmux)
X($check)
X($concat)
X($cover)
X($demux)
X($dff)
X($dffe)
X($dffsr)
X($dffsre)
X($div)
X($divfloor)
X($dlatch)
X($dlatchsr)
X($eq)
X($equiv)
X($eqx)
X($fa)
X($fair)
X($false)
X($ff)
X($flowmap_level)
X($fsm)
X($fullskew)
X($future_ff)
X($ge)
X($get_tag)
X($gt)
X($initstate)
X($input)
X($lcu)
X($le)
X($live)
X($logic_and)
X($logic_not)
X($logic_or)
X($lt)
X($lut)
X($macc)
X($macc_v2)
X($mem)
X($mem_v2)
X($meminit)
X($meminit_v2)
X($memrd)
X($memrd_v2)
X($memwr)
X($memwr_v2)
X($mod)
X($modfloor)
X($mul)
X($mux)
X($ne)
X($neg)
X($nex)
X($not)
X($or)
X($original_tag)
X($output)
X($overwrite_tag)
X($pending)
X($pmux)
X($pos)
X($pow)
X($print)
X($recrem)
X($reduce_and)
X($reduce_bool)
X($reduce_nand)
X($reduce_or)
X($reduce_xnor)
X($reduce_xor)
X($scopeinfo)
X($sdff)
X($sdffce)
X($sdffe)
X($set_tag)
X($setup)
X($setuphold)
X($shift)
X($shiftx)
X($shl)
X($shr)
X($slice)
X($sop)
X($specify2)
X($specify3)
X($specrule)
X($sr)
X($sshl)
X($sshr)
X($state)
X($sub)
X($tribuf)
X($true)
X($undef)
X($xnor)
X($xor)
X(A)
X(A0REG)
X(A1)
X(A1REG)
X(A2)
X(A3)
X(A4)
X(ABITS)
X(ACASCREG)
X(ACCUMCI)
X(ACCUMCO)
X(ACIN)
X(AD)
X(ADDEND_NEGATED)
X(ADDR)
X(ADDSUBBOT)
X(ADDSUBTOP)
X(ADREG)
X(AHOLD)
X(ALOAD)
X(ALOAD_POLARITY)
X(ALUMODE)
X(ALUMODEREG)
X(ALUTYPE)
X(AL_MAP_ADDER)
X(AL_MAP_LUT1)
X(AL_MAP_LUT2)
X(AL_MAP_LUT3)
X(AL_MAP_LUT4)
X(AL_MAP_LUT5)
X(AL_MAP_LUT6)
X(ALn)
X(AND)
X(ANDNOT)
X(ANDTERM)
X(AOI3)
X(AOI4)
X(AREG)
X(ARGS)
X(ARGS_WIDTH)
X(ARST)
X(ARST_POLARITY)
X(ARST_VALUE)
X(A_BYPASS)
X(A_EN)
X(A_INPUT)
X(A_REG)
X(A_SIGNED)
X(A_SRST_N)
X(A_WIDTH)
X(A_WIDTHS)
X(B)
X(B0REG)
X(B1)
X(B1REG)
X(B2)
X(B3)
X(B4)
X(BCASCREG)
X(BCIN)
X(BHOLD)
X(BI)
X(BITS_USED)
X(BYTE)
X(BOTADDSUB_CARRYSELECT)
X(BOTADDSUB_LOWERINPUT)
X(BOTADDSUB_UPPERINPUT)
X(BOTOUTPUT_SELECT)
X(BOT_8x8_MULT_REG)
X(BREG)
X(BUF)
X(BUFG)
X(BUFGSR)
X(BUFGTS)
X(B_BYPASS)
X(B_EN)
X(B_INPUT)
X(B_REG)
X(B_SIGNED)
X(B_SRST_N)
X(B_WIDTH)
X(B_WIDTHS)
X(C)
X(CARRYIN)
X(CARRYINREG)
X(CARRYINSEL)
X(CARRYINSELREG)
X(CARRYOUT)
X(CC_L2T4)
X(CC_L2T5)
X(CC_LUT2)
X(CDIN_FDBK_SEL)
X(CE)
X(CEA)
X(CEA1)
X(CEA2)
X(CEAD)
X(CEB)
X(CEB1)
X(CEB2)
X(CEC)
X(CED)
X(CEM)
X(CEP)
X(CE_OVER_SRST)
X(CFG1)
X(CFG2)
X(CFG3)
X(CFG4)
X(CFG_ABITS)
X(CFG_DBITS)
X(CFG_INIT)
X(CHOLD)
X(CI)
X(CLK)
X(CLKIN_DIVIDE)
X(CLKPOL)
X(CLK_ENABLE)
X(CLK_POLARITY)
X(CLR)
X(CLR_POLARITY)
X(CO)
X(COLLISION_X_MASK)
X(COMP_INP)
X(CONFIG)
X(CONFIG_WIDTH)
X(COUNT_EXTRACT)
X(COUNT_TO)
X(CREG)
X(CTRL_IN)
X(CTRL_IN_WIDTH)
X(CTRL_OUT)
X(CTRL_OUT_WIDTH)
X(C_ARST_N)
X(C_BYPASS)
X(C_EN)
X(C_REG)
X(C_SIGNED)
X(C_SRST_N)
X(C_WIDTHS)
X(D)
X(DAT)
@ -65,45 +421,248 @@ X(DAT_DST_PEN)
X(DAT_DST_POL)
X(DELAY)
X(DEPTH)
X(DFF)
X(DHOLD)
X(DIRECTION)
X(DREG)
X(DSP48E1)
X(DST)
X(DST_EN)
X(DST_PEN)
X(DST_POL)
X(DST_WIDTH)
X(D_ARST_N)
X(D_BYPASS)
X(D_EN)
X(D_REG)
X(D_SRST_N)
X(E)
X(EDGE_EN)
X(EDGE_POL)
X(EFX_ADD)
X(EN)
X(ENPOL)
X(EN_DST)
X(EN_POLARITY)
X(EN_SRC)
X(EQN)
X(F)
X(FDCE)
X(FDCE_1)
X(FDCP)
X(FDCPE)
X(FDCPE_1)
X(FDCPE_N)
X(FDCP_N)
X(FDDCP)
X(FDDCPE)
X(FDPE)
X(FDPE_1)
X(FDRE)
X(FDRE_1)
X(FDRSE)
X(FDRSE_1)
X(FDSE)
X(FDSE_1)
X(FLAVOR)
X(FORMAT)
X(FTCP)
X(FTCP_N)
X(FTDCP)
X(FULL)
X(G)
X(GP_DFF)
X(GP_DFFI)
X(GP_DFFR)
X(GP_DFFRI)
X(GP_DFFS)
X(GP_DFFSI)
X(GP_DFFSR)
X(GP_DFFSRI)
X(GP_DLATCH)
X(GP_DLATCHI)
X(GP_DLATCHR)
X(GP_DLATCHRI)
X(GP_DLATCHS)
X(GP_DLATCHSI)
X(GP_DLATCHSR)
X(GP_DLATCHSRI)
X(GP_INV)
X(GP_SHREG)
X(GSR)
X(H)
X(HAS_CE)
X(HAS_POUT)
X(I)
X(I0)
X(I0_POLARITY)
X(I1)
X(I1_POLARITY)
X(I2)
X(I3)
X(I3_IS_CI)
X(I4)
X(I5)
X(IBUF)
X(IN)
X(INIT)
X(INIT1)
X(INIT2)
X(INIT_FILE)
X(INIT_L00)
X(INIT_L01)
X(INIT_L02)
X(INIT_L03)
X(INIT_L10)
X(INIT_L20)
X(INIT_VALUE)
X(INMODE)
X(INMODEREG)
X(INV)
X(INVERT_OUT)
X(IN_B)
X(IN_ORTERM)
X(IN_PTC)
X(IOBUFE)
X(IRSTBOT)
X(IRSTTOP)
X(IS_C_INVERTED)
X(IS_D_INVERTED)
X(IS_R_INVERTED)
X(IS_S_INVERTED)
X(J)
X(K)
X(L)
X(LAT)
X(LDCP)
X(LDCP_N)
X(LSR)
X(LUT)
X(LUT1)
X(LUT2)
X(LUT3)
X(LUT4)
X(LUT5)
X(LUT6)
X(LUT_INIT)
X(M)
X(MACROCELL_XOR)
X(MASK)
X(MEMID)
X(MODE_8x8)
X(MODE_BITS)
X(MREG)
X(MUX)
X(MUX16)
X(MUX4)
X(MUX8)
X(N)
X(NADDENDS)
X(NAME)
X(NAND)
X(NEG_TRIGGER)
X(NMUX)
X(NOR)
X(NOT)
X(NPRODUCTS)
X(NX_CY)
X(NX_CY_1BIT)
X(O)
X(OAI3)
X(OAI4)
X(OFFSET)
X(OHOLDBOT)
X(OHOLDTOP)
X(OLOADBOT)
X(OLOADTOP)
X(ONE)
X(OPMODE)
X(OPMODEREG)
X(OPTION_SPLIT)
X(OR)
X(ORNOT)
X(ORSTBOT)
X(ORSTTOP)
X(ORTERM)
X(OUT)
X(OUTA)
X(OUTA_INVERT)
X(OUTA_TAP)
X(OUTB)
X(OUTB_TAP)
X(OVERFLOW)
X(P)
X(PASUB)
X(PATTERN)
X(PCIN)
X(PIPELINE_16x16_MULT_REG1)
X(PIPELINE_16x16_MULT_REG2)
X(PORTID)
X(PORT_A1_ADDR)
X(PORT_A1_CLK)
X(PORT_A1_CLK_EN)
X(PORT_A1_RD_DATA)
X(PORT_A1_WIDTH)
X(PORT_A1_WR_BE)
X(PORT_A1_WR_BE_WIDTH)
X(PORT_A1_WR_DATA)
X(PORT_A1_WR_EN)
X(PORT_A2_ADDR)
X(PORT_A2_CLK)
X(PORT_A2_CLK_EN)
X(PORT_A2_RD_DATA)
X(PORT_A2_WIDTH)
X(PORT_A2_WR_BE)
X(PORT_A2_WR_BE_WIDTH)
X(PORT_A2_WR_DATA)
X(PORT_A2_WR_EN)
X(PORT_A_ADDR)
X(PORT_A_CLK)
X(PORT_A_CLK_EN)
X(PORT_A_RD_DATA)
X(PORT_A_WIDTH)
X(PORT_A_WR_BE)
X(PORT_A_WR_BE_WIDTH)
X(PORT_A_WR_DATA)
X(PORT_A_WR_EN)
X(PORT_B1_ADDR)
X(PORT_B1_CLK)
X(PORT_B1_CLK_EN)
X(PORT_B1_RD_DATA)
X(PORT_B1_WIDTH)
X(PORT_B1_WR_BE)
X(PORT_B1_WR_BE_WIDTH)
X(PORT_B1_WR_DATA)
X(PORT_B1_WR_EN)
X(PORT_B2_ADDR)
X(PORT_B2_CLK)
X(PORT_B2_CLK_EN)
X(PORT_B2_RD_DATA)
X(PORT_B2_WIDTH)
X(PORT_B2_WR_BE)
X(PORT_B2_WR_BE_WIDTH)
X(PORT_B2_WR_DATA)
X(PORT_B2_WR_EN)
X(PORT_B_ADDR)
X(PORT_B_CLK)
X(PORT_B_CLK_EN)
X(PORT_B_RD_DATA)
X(PORT_B_WIDTH)
X(PORT_B_WR_BE)
X(PORT_B_WR_BE_WIDTH)
X(PORT_B_WR_DATA)
X(PORT_B_WR_EN)
X(POUT)
X(PRE)
X(PREG)
X(PRIORITY)
X(PRIORITY_MASK)
X(PRODUCT_NEGATED)
X(P_BYPASS)
X(P_EN)
X(P_SRST_N)
X(Q)
X(QL_DSP2)
X(R)
X(RD_ADDR)
X(RD_ARST)
@ -122,10 +681,38 @@ X(RD_SRST_VALUE)
X(RD_TRANSPARENCY_MASK)
X(RD_TRANSPARENT)
X(RD_WIDE_CONTINUATION)
X(RESET_MODE)
X(RESET_TO_MAX)
X(RST)
X(RSTA)
X(RSTB)
X(RSTC)
X(RSTD)
X(RSTM)
X(RSTP)
X(S)
X(S1)
X(S2)
X(S3)
X(S4)
X(SB_CARRY)
X(SB_LUT4)
X(SB_MAC16)
X(SB_RAM40_4K)
X(SB_RAM40_4KNR)
X(SB_RAM40_4KNRNW)
X(SB_RAM40_4KNW)
X(SD)
X(SEL_MASK)
X(SEL_PATTERN)
X(SET)
X(SET_POLARITY)
X(SGSR)
X(SIGNEXTIN)
X(SIGNEXTOUT)
X(SIZE)
X(SLE)
X(SLn)
X(SRC)
X(SRC_DST_PEN)
X(SRC_DST_POL)
@ -133,6 +720,7 @@ X(SRC_EN)
X(SRC_PEN)
X(SRC_POL)
X(SRC_WIDTH)
X(SRMODE)
X(SRST)
X(SRST_POLARITY)
X(SRST_VALUE)
@ -141,24 +729,31 @@ X(STATE_NUM)
X(STATE_NUM_LOG2)
X(STATE_RST)
X(STATE_TABLE)
X(SUB)
X(S_WIDTH)
X(T)
X(TABLE)
X(TAG)
X(TDP36K)
X(TOPADDSUB_CARRYSELECT)
X(TOPADDSUB_LOWERINPUT)
X(TOPADDSUB_UPPERINPUT)
X(TOPOUTPUT_SELECT)
X(TOP_8x8_MULT_REG)
X(TRANSPARENCY_MASK)
X(TRANSPARENT)
X(TRANS_NUM)
X(TRANS_TABLE)
X(TRELLIS_FF)
X(TRG)
X(TRG_ENABLE)
X(TRG_POLARITY)
X(TRG_WIDTH)
X(TRUE_INP)
X(TYPE)
X(T_FALL_MAX)
X(T_FALL_MIN)
X(T_FALL_TYP)
X(T_LIMIT)
X(T_LIMIT2)
X(T_LIMIT2_MAX)
X(T_LIMIT2_MIN)
X(T_LIMIT2_TYP)
@ -169,6 +764,12 @@ X(T_RISE_MAX)
X(T_RISE_MIN)
X(T_RISE_TYP)
X(U)
X(UP)
X(USE_DPORT)
X(USE_MULT)
X(USE_PATTERN_DETECT)
X(USE_SIMD)
X(UUT)
X(V)
X(WIDTH)
X(WORDS)
@ -182,26 +783,41 @@ X(WR_PORTS)
X(WR_PRIORITY_MASK)
X(WR_WIDE_CONTINUATION)
X(X)
X(XNOR)
X(XOR)
X(Y)
X(Y_WIDTH)
X(Z)
X(ZERO)
X(_TECHMAP_BITS_CONNMAP_)
X(_TECHMAP_CELLNAME_)
X(_TECHMAP_CELLTYPE_)
X(_TECHMAP_FAIL_)
X(_TECHMAP_PLACEHOLDER_)
X(_TECHMAP_REPLACE_)
X(__glift_weight)
X(_const0_)
X(_const1_)
X(_dff_)
X(_id)
X(_techmap_special_)
X(a)
X(a_i)
X(abc9_box)
X(abc9_box_id)
X(abc9_box_seq)
X(abc9_bypass)
X(abc9_carry)
X(abc9_deferred_box)
X(abc9_flop)
X(abc9_keep)
X(abc9_lut)
X(abc9_mergeability)
X(abc9_scc_id)
X(abc9_script)
X(abcgroup)
X(acc_fir)
X(acc_fir_i)
X(add_carry)
X(allconst)
X(allseq)
X(always_comb)
@ -209,34 +825,63 @@ X(always_ff)
X(always_latch)
X(anyconst)
X(anyseq)
X(architecture)
X(area)
X(b)
X(b_i)
X(blackbox)
X(bottom_bound)
X(bugpoint_keep)
X(c)
X(capacitance)
X(cells_not_processed)
X(chain)
X(clk)
X(clk2fflogic)
X(clkbuf_driver)
X(clkbuf_inhibit)
X(clkbuf_inv)
X(clkbuf_sink)
X(clock_i)
X(cxxrtl_blackbox)
X(cxxrtl_comb)
X(cxxrtl_edge)
X(cxxrtl_sync)
X(cxxrtl_template)
X(cxxrtl_width)
X(defaultvalue)
X(dff)
X(dffsre)
X(dft_tag)
X(dly_b)
X(dly_b_o)
X(dsp_t1_10x9x32)
X(dynports)
X(enum_base_type)
X(enum_type)
X(equiv_merged)
X(equiv_region)
X(extract_order)
X(f_mode)
X(feedback)
X(feedback_i)
X(first)
X(force_downto)
X(force_upto)
X(fsm_encoding)
X(fsm_export)
X(full_case)
X(gate)
X(gate_cost_equivalent)
X(gclk)
X(gentb_clock)
X(gentb_constant)
X(gentb_skip)
X(glift)
X(gold)
X(hdlname)
X(hierconn)
X(i)
X(init)
X(initial_top)
X(interface_modport)
@ -244,28 +889,44 @@ X(interface_type)
X(interfaces_replaced_in_module)
X(invertible_pin)
X(iopad_external_pin)
X(is_inferred)
X(is_interface)
X(it)
X(keep)
X(keep_hierarchy)
X(lib_whitebox)
X(library)
X(load_acc)
X(load_acc_i)
X(localparam)
X(logic_block)
X(lram)
X(lut)
X(lut_keep)
X(maximize)
X(mem2reg)
X(minimize)
X(module)
X(module_not_derived)
X(nQ)
X(nRST)
X(nSET)
X(netlist)
X(no_ram)
X(no_rw_check)
X(noblackbox)
X(nogsr)
X(nolatches)
X(nomem2init)
X(nomem2reg)
X(nomeminit)
X(nosync)
X(nowrshmsk)
X(o)
X(offset)
X(onehot)
X(output_select)
X(output_select_i)
X(p_class)
X(parallel_case)
X(parameter)
X(promoted_if)
@ -274,17 +935,32 @@ X(ram_block)
X(ram_style)
X(ramstyle)
X(reg)
X(register_inputs)
X(register_inputs_i)
X(replaced_by_gclk)
X(reprocess_after)
X(reset)
X(reset_i)
X(rom_block)
X(rom_style)
X(romstyle)
X(round)
X(round_i)
X(rtlil)
X(saturate_enable)
X(saturate_enable_i)
X(scopename)
X(sdffsre)
X(shift_right)
X(shift_right_i)
X(single_bit_vector)
X(smtlib2_comb_expr)
X(smtlib2_module)
X(src)
X(sta_arrival)
X(submod)
X(subtract)
X(subtract_i)
X(syn_ramstyle)
X(syn_romstyle)
X(techmap_autopurge)
@ -293,14 +969,28 @@ X(techmap_chtype)
X(techmap_maccmap)
X(techmap_simplemap)
X(techmap_wrap)
X(test)
X(to_delete)
X(top)
X(top_bound)
X(trg_on_gclk)
X(trigger)
X(unique)
X(unsigned_a)
X(unsigned_a_i)
X(unsigned_b)
X(unsigned_b_i)
X(unused_bits)
X(use_dsp)
X(value)
X(via_celltype)
X(wand)
X(whitebox)
X(width)
X(wildcard_port_conns)
X(wiretype)
X(wor)
X(xprop_decoder)
X(y)
X(z)
X(z_o)