mirror of
https://github.com/YosysHQ/yosys
synced 2025-09-05 17:47:44 +00:00
Add xilinx_srl test
This commit is contained in:
parent
ba5d81c7f1
commit
975aaf190f
3 changed files with 127 additions and 0 deletions
20
tests/xilinx/run-test.sh
Executable file
20
tests/xilinx/run-test.sh
Executable file
|
@ -0,0 +1,20 @@
|
||||||
|
#!/usr/bin/env bash
|
||||||
|
set -e
|
||||||
|
{
|
||||||
|
echo "all::"
|
||||||
|
for x in *.ys; do
|
||||||
|
echo "all:: run-$x"
|
||||||
|
echo "run-$x:"
|
||||||
|
echo " @echo 'Running $x..'"
|
||||||
|
echo " @../../yosys -ql ${x%.ys}.log $x"
|
||||||
|
done
|
||||||
|
for s in *.sh; do
|
||||||
|
if [ "$s" != "run-test.sh" ]; then
|
||||||
|
echo "all:: run-$s"
|
||||||
|
echo "run-$s:"
|
||||||
|
echo " @echo 'Running $s..'"
|
||||||
|
echo " @bash $s"
|
||||||
|
fi
|
||||||
|
done
|
||||||
|
} > run-test.mk
|
||||||
|
exec ${MAKE:-make} -f run-test.mk
|
40
tests/xilinx/xilinx_srl.v
Normal file
40
tests/xilinx/xilinx_srl.v
Normal file
|
@ -0,0 +1,40 @@
|
||||||
|
module xilinx_srl_static_test(input i, clk, output [1:0] q);
|
||||||
|
reg head = 1'b0;
|
||||||
|
reg [3:0] shift1 = 4'b0000;
|
||||||
|
reg [3:0] shift2 = 4'b0000;
|
||||||
|
|
||||||
|
always @(posedge clk) begin
|
||||||
|
head <= i;
|
||||||
|
shift1 <= {shift1[2:0], head};
|
||||||
|
shift2 <= {shift2[2:0], head};
|
||||||
|
end
|
||||||
|
|
||||||
|
assign q = {shift2[3], shift1[3]};
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module xilinx_srl_variable_test(input i, clk, input [1:0] l1, l2, output [1:0] q);
|
||||||
|
reg head = 1'b0;
|
||||||
|
reg [3:0] shift1 = 4'b0000;
|
||||||
|
reg [3:0] shift2 = 4'b0000;
|
||||||
|
|
||||||
|
always @(posedge clk) begin
|
||||||
|
head <= i;
|
||||||
|
shift1 <= {shift1[2:0], head};
|
||||||
|
shift2 <= {shift2[2:0], head};
|
||||||
|
end
|
||||||
|
|
||||||
|
assign q = {shift2[l2], shift1[l1]};
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module $__XILINX_SHREG_(input C, D, E, input [1:0] L, output Q);
|
||||||
|
parameter CLKPOL = 1;
|
||||||
|
parameter ENPOL = 1;
|
||||||
|
parameter DEPTH = 1;
|
||||||
|
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
|
||||||
|
reg [DEPTH-1:0] r = INIT;
|
||||||
|
wire clk = C ^ CLKPOL;
|
||||||
|
always @(posedge C)
|
||||||
|
if (E)
|
||||||
|
r <= { r[DEPTH-2:0], D };
|
||||||
|
assign Q = r[L];
|
||||||
|
endmodule
|
67
tests/xilinx/xilinx_srl.ys
Normal file
67
tests/xilinx/xilinx_srl.ys
Normal file
|
@ -0,0 +1,67 @@
|
||||||
|
read_verilog xilinx_srl.v
|
||||||
|
design -save read
|
||||||
|
|
||||||
|
design -copy-to model $__XILINX_SHREG_
|
||||||
|
hierarchy -top xilinx_srl_static_test
|
||||||
|
prep
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
techmap
|
||||||
|
xilinx_srl -fixed
|
||||||
|
opt
|
||||||
|
|
||||||
|
# stat
|
||||||
|
# show -width
|
||||||
|
select -assert-count 1 t:$_DFF_P_
|
||||||
|
select -assert-count 2 t:$__XILINX_SHREG_
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
design -copy-from model -as $__XILINX_SHREG_ \$__XILINX_SHREG_
|
||||||
|
prep
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
dump gate
|
||||||
|
sat -verify -prove-asserts -show-ports -seq 5 miter
|
||||||
|
|
||||||
|
#design -load gold
|
||||||
|
#stat
|
||||||
|
|
||||||
|
#design -load gate
|
||||||
|
#stat
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
design -load read
|
||||||
|
design -copy-to model $__XILINX_SHREG_
|
||||||
|
hierarchy -top xilinx_srl_variable_test
|
||||||
|
prep
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
simplemap t:$dff t:$dffe
|
||||||
|
xilinx_srl -variable
|
||||||
|
opt
|
||||||
|
|
||||||
|
#stat
|
||||||
|
# show -width
|
||||||
|
# write_verilog -noexpr -norename
|
||||||
|
select -assert-count 1 t:$_DFF_P_
|
||||||
|
select -assert-count 2 t:$__XILINX_SHREG_
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
design -copy-from model -as $__XILINX_SHREG_ \$__XILINX_SHREG_
|
||||||
|
prep
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports -seq 5 miter
|
||||||
|
|
||||||
|
# design -load gold
|
||||||
|
# stat
|
||||||
|
|
||||||
|
# design -load gate
|
||||||
|
# stat
|
Loading…
Add table
Add a link
Reference in a new issue