3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-08-17 00:32:17 +00:00

Move (most of) ExOth and ExAdv slides

This commit is contained in:
Krystine Sherwin 2023-08-07 12:58:40 +12:00
parent 7ab051778e
commit 8ade2182b0
No known key found for this signature in database
49 changed files with 828 additions and 1027 deletions

View file

@ -1,15 +0,0 @@
module MYMUL(A, B, Y);
parameter WIDTH = 1;
input [WIDTH-1:0] A, B;
output reg [WIDTH-1:0] Y;
wire [1023:0] _TECHMAP_DO_ = "proc; clean";
integer i;
always @* begin
Y = 0;
for (i = 0; i < WIDTH; i=i+1)
if (A[i])
Y = Y + (B << i);
end
endmodule