mirror of
https://github.com/YosysHQ/yosys
synced 2025-11-05 13:56:04 +00:00
Merge remote-tracking branch 'upstream/master' into efinix
This commit is contained in:
commit
7a860c5623
58 changed files with 1324 additions and 601 deletions
|
|
@ -15,6 +15,12 @@ Yosys 0.9 .. Yosys 0.9-dev
|
||||||
- Added "script -scriptwire
|
- Added "script -scriptwire
|
||||||
- "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
|
- "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
|
||||||
- Added automatic gzip decompression for frontends
|
- Added automatic gzip decompression for frontends
|
||||||
|
- Added $_NMUX_ cell type
|
||||||
|
- Added automatic gzip compression (based on filename extension) for backends
|
||||||
|
- Improve attribute and parameter encoding in JSON to avoid ambiguities between
|
||||||
|
bit vectors and strings containing [01xz]*
|
||||||
|
- Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
|
||||||
|
- Removed "ice40_unlut"
|
||||||
|
|
||||||
Yosys 0.8 .. Yosys 0.8-dev
|
Yosys 0.8 .. Yosys 0.8-dev
|
||||||
--------------------------
|
--------------------------
|
||||||
|
|
|
||||||
|
|
@ -621,8 +621,7 @@ struct XAigerWriter
|
||||||
log_debug("boxNum = %d\n", GetSize(box_list));
|
log_debug("boxNum = %d\n", GetSize(box_list));
|
||||||
write_h_buffer(box_list.size());
|
write_h_buffer(box_list.size());
|
||||||
|
|
||||||
RTLIL::Module *holes_module = nullptr;
|
RTLIL::Module *holes_module = module->design->addModule("$__holes__");
|
||||||
holes_module = module->design->addModule("$__holes__");
|
|
||||||
log_assert(holes_module);
|
log_assert(holes_module);
|
||||||
|
|
||||||
int port_id = 1;
|
int port_id = 1;
|
||||||
|
|
@ -719,27 +718,33 @@ struct XAigerWriter
|
||||||
Pass::call(holes_module->design, "flatten -wb");
|
Pass::call(holes_module->design, "flatten -wb");
|
||||||
|
|
||||||
// TODO: Should techmap/aigmap/check all lib_whitebox-es just once,
|
// TODO: Should techmap/aigmap/check all lib_whitebox-es just once,
|
||||||
// instead of per write_xaiger call
|
// instead of per write_xaiger call
|
||||||
Pass::call(holes_module->design, "techmap");
|
Pass::call(holes_module->design, "techmap");
|
||||||
Pass::call(holes_module->design, "aigmap");
|
Pass::call(holes_module->design, "aigmap");
|
||||||
for (auto cell : holes_module->cells())
|
for (auto cell : holes_module->cells())
|
||||||
if (!cell->type.in("$_NOT_", "$_AND_"))
|
if (!cell->type.in("$_NOT_", "$_AND_"))
|
||||||
log_error("Whitebox contents cannot be represented as AIG. Please verify whiteboxes are synthesisable.\n");
|
log_error("Whitebox contents cannot be represented as AIG. Please verify whiteboxes are synthesisable.\n");
|
||||||
|
|
||||||
Pass::call(holes_module->design, "clean -purge");
|
holes_module->design->selection_stack.pop_back();
|
||||||
|
|
||||||
|
// Move into a new (temporary) design so that "clean" will only
|
||||||
|
// operate (and run checks on) this one module
|
||||||
|
RTLIL::Design *holes_design = new RTLIL::Design;
|
||||||
|
holes_module->design->modules_.erase(holes_module->name);
|
||||||
|
holes_design->add(holes_module);
|
||||||
|
Pass::call(holes_design, "clean -purge");
|
||||||
|
|
||||||
std::stringstream a_buffer;
|
std::stringstream a_buffer;
|
||||||
XAigerWriter writer(holes_module, true /* holes_mode */);
|
XAigerWriter writer(holes_module, true /* holes_mode */);
|
||||||
writer.write_aiger(a_buffer, false /*ascii_mode*/);
|
writer.write_aiger(a_buffer, false /*ascii_mode*/);
|
||||||
|
|
||||||
holes_module->design->selection_stack.pop_back();
|
delete holes_design;
|
||||||
|
|
||||||
f << "a";
|
f << "a";
|
||||||
std::string buffer_str = a_buffer.str();
|
std::string buffer_str = a_buffer.str();
|
||||||
int32_t buffer_size_be = to_big_endian(buffer_str.size());
|
int32_t buffer_size_be = to_big_endian(buffer_str.size());
|
||||||
f.write(reinterpret_cast<const char*>(&buffer_size_be), sizeof(buffer_size_be));
|
f.write(reinterpret_cast<const char*>(&buffer_size_be), sizeof(buffer_size_be));
|
||||||
f.write(buffer_str.data(), buffer_str.size());
|
f.write(buffer_str.data(), buffer_str.size());
|
||||||
holes_module->design->remove(holes_module);
|
|
||||||
|
|
||||||
log_pop();
|
log_pop();
|
||||||
}
|
}
|
||||||
|
|
|
||||||
|
|
@ -327,6 +327,13 @@ struct BlifDumper
|
||||||
goto internal_cell;
|
goto internal_cell;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
if (!config->icells_mode && cell->type == "$_NMUX_") {
|
||||||
|
f << stringf(".names %s %s %s %s\n0-0 1\n-01 1\n",
|
||||||
|
cstr(cell->getPort("\\A")), cstr(cell->getPort("\\B")),
|
||||||
|
cstr(cell->getPort("\\S")), cstr(cell->getPort("\\Y")));
|
||||||
|
goto internal_cell;
|
||||||
|
}
|
||||||
|
|
||||||
if (!config->icells_mode && cell->type == "$_FF_") {
|
if (!config->icells_mode && cell->type == "$_FF_") {
|
||||||
f << stringf(".latch %s %s%s\n", cstr(cell->getPort("\\D")), cstr(cell->getPort("\\Q")),
|
f << stringf(".latch %s %s%s\n", cstr(cell->getPort("\\D")), cstr(cell->getPort("\\Q")),
|
||||||
cstr_init(cell->getPort("\\Q")));
|
cstr_init(cell->getPort("\\Q")));
|
||||||
|
|
|
||||||
|
|
@ -496,7 +496,7 @@ struct BtorWorker
|
||||||
goto okay;
|
goto okay;
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type.in("$mux", "$_MUX_"))
|
if (cell->type.in("$mux", "$_MUX_", "$_NMUX_"))
|
||||||
{
|
{
|
||||||
SigSpec sig_a = sigmap(cell->getPort("\\A"));
|
SigSpec sig_a = sigmap(cell->getPort("\\A"));
|
||||||
SigSpec sig_b = sigmap(cell->getPort("\\B"));
|
SigSpec sig_b = sigmap(cell->getPort("\\B"));
|
||||||
|
|
@ -511,6 +511,12 @@ struct BtorWorker
|
||||||
int nid = next_nid++;
|
int nid = next_nid++;
|
||||||
btorf("%d ite %d %d %d %d\n", nid, sid, nid_s, nid_b, nid_a);
|
btorf("%d ite %d %d %d %d\n", nid, sid, nid_s, nid_b, nid_a);
|
||||||
|
|
||||||
|
if (cell->type == "$_NMUX_") {
|
||||||
|
int tmp = nid;
|
||||||
|
nid = next_nid++;
|
||||||
|
btorf("%d not %d %d\n", nid, sid, tmp);
|
||||||
|
}
|
||||||
|
|
||||||
add_nid_sig(nid, sig_y);
|
add_nid_sig(nid, sig_y);
|
||||||
goto okay;
|
goto okay;
|
||||||
}
|
}
|
||||||
|
|
|
||||||
|
|
@ -381,10 +381,10 @@ struct FirrtlWorker
|
||||||
|
|
||||||
// Given an expression for a shift amount, and a maximum width,
|
// Given an expression for a shift amount, and a maximum width,
|
||||||
// generate the FIRRTL expression for equivalent dynamic shift taking into account FIRRTL shift semantics.
|
// generate the FIRRTL expression for equivalent dynamic shift taking into account FIRRTL shift semantics.
|
||||||
std::string gen_dshl(const string b_expr, const int b_padded_width)
|
std::string gen_dshl(const string b_expr, const int b_width)
|
||||||
{
|
{
|
||||||
string result = b_expr;
|
string result = b_expr;
|
||||||
if (b_padded_width >= FIRRTL_MAX_DSH_WIDTH_ERROR) {
|
if (b_width >= FIRRTL_MAX_DSH_WIDTH_ERROR) {
|
||||||
int max_shift_width_bits = FIRRTL_MAX_DSH_WIDTH_ERROR - 1;
|
int max_shift_width_bits = FIRRTL_MAX_DSH_WIDTH_ERROR - 1;
|
||||||
string max_shift_string = stringf("UInt<%d>(%d)", max_shift_width_bits, (1<<max_shift_width_bits) - 1);
|
string max_shift_string = stringf("UInt<%d>(%d)", max_shift_width_bits, (1<<max_shift_width_bits) - 1);
|
||||||
// Deal with the difference in semantics between FIRRTL and verilog
|
// Deal with the difference in semantics between FIRRTL and verilog
|
||||||
|
|
@ -422,22 +422,33 @@ struct FirrtlWorker
|
||||||
|
|
||||||
for (auto cell : module->cells())
|
for (auto cell : module->cells())
|
||||||
{
|
{
|
||||||
bool extract_y_bits = false; // Assume no extraction of final bits will be required.
|
static Const ndef(0, 0);
|
||||||
|
|
||||||
// Is this cell is a module instance?
|
// Is this cell is a module instance?
|
||||||
if (cell->type[0] != '$')
|
if (cell->type[0] != '$')
|
||||||
{
|
{
|
||||||
process_instance(cell, wire_exprs);
|
process_instance(cell, wire_exprs);
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
// Not a module instance. Set up cell properties
|
||||||
|
bool extract_y_bits = false; // Assume no extraction of final bits will be required.
|
||||||
|
int a_width = cell->parameters.at("\\A_WIDTH", ndef).as_int(); // The width of "A"
|
||||||
|
int b_width = cell->parameters.at("\\B_WIDTH", ndef).as_int(); // The width of "A"
|
||||||
|
const int y_width = cell->parameters.at("\\Y_WIDTH", ndef).as_int(); // The width of the result
|
||||||
|
const bool a_signed = cell->parameters.at("\\A_SIGNED", ndef).as_bool();
|
||||||
|
const bool b_signed = cell->parameters.at("\\B_SIGNED", ndef).as_bool();
|
||||||
|
bool firrtl_is_signed = a_signed; // The result is signed (subsequent code may change this).
|
||||||
|
int firrtl_width = 0;
|
||||||
|
string primop;
|
||||||
|
bool always_uint = false;
|
||||||
|
string y_id = make_id(cell->name);
|
||||||
|
|
||||||
if (cell->type.in("$not", "$logic_not", "$neg", "$reduce_and", "$reduce_or", "$reduce_xor", "$reduce_bool", "$reduce_xnor"))
|
if (cell->type.in("$not", "$logic_not", "$neg", "$reduce_and", "$reduce_or", "$reduce_xor", "$reduce_bool", "$reduce_xnor"))
|
||||||
{
|
{
|
||||||
string y_id = make_id(cell->name);
|
|
||||||
bool is_signed = cell->parameters.at("\\A_SIGNED").as_bool();
|
|
||||||
int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
|
|
||||||
string a_expr = make_expr(cell->getPort("\\A"));
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
||||||
|
|
||||||
if (cell->parameters.at("\\A_SIGNED").as_bool()) {
|
if (a_signed) {
|
||||||
a_expr = "asSInt(" + a_expr + ")";
|
a_expr = "asSInt(" + a_expr + ")";
|
||||||
}
|
}
|
||||||
|
|
||||||
|
|
@ -446,12 +457,13 @@ struct FirrtlWorker
|
||||||
a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
|
a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
|
||||||
}
|
}
|
||||||
|
|
||||||
string primop;
|
// Assume the FIRRTL width is a single bit.
|
||||||
bool always_uint = false;
|
firrtl_width = 1;
|
||||||
if (cell->type == "$not") primop = "not";
|
if (cell->type == "$not") primop = "not";
|
||||||
else if (cell->type == "$neg") {
|
else if (cell->type == "$neg") {
|
||||||
primop = "neg";
|
primop = "neg";
|
||||||
is_signed = true; // Result of "neg" is signed (an SInt).
|
firrtl_is_signed = true; // Result of "neg" is signed (an SInt).
|
||||||
|
firrtl_width = a_width;
|
||||||
} else if (cell->type == "$logic_not") {
|
} else if (cell->type == "$logic_not") {
|
||||||
primop = "eq";
|
primop = "eq";
|
||||||
a_expr = stringf("%s, UInt(0)", a_expr.c_str());
|
a_expr = stringf("%s, UInt(0)", a_expr.c_str());
|
||||||
|
|
@ -466,14 +478,12 @@ struct FirrtlWorker
|
||||||
else if (cell->type == "$reduce_bool") {
|
else if (cell->type == "$reduce_bool") {
|
||||||
primop = "neq";
|
primop = "neq";
|
||||||
// Use the sign of the a_expr and its width as the type (UInt/SInt) and width of the comparand.
|
// Use the sign of the a_expr and its width as the type (UInt/SInt) and width of the comparand.
|
||||||
bool a_signed = cell->parameters.at("\\A_SIGNED").as_bool();
|
|
||||||
int a_width = cell->parameters.at("\\A_WIDTH").as_int();
|
|
||||||
a_expr = stringf("%s, %cInt<%d>(0)", a_expr.c_str(), a_signed ? 'S' : 'U', a_width);
|
a_expr = stringf("%s, %cInt<%d>(0)", a_expr.c_str(), a_signed ? 'S' : 'U', a_width);
|
||||||
}
|
}
|
||||||
|
|
||||||
string expr = stringf("%s(%s)", primop.c_str(), a_expr.c_str());
|
string expr = stringf("%s(%s)", primop.c_str(), a_expr.c_str());
|
||||||
|
|
||||||
if ((is_signed && !always_uint))
|
if ((firrtl_is_signed && !always_uint))
|
||||||
expr = stringf("asUInt(%s)", expr.c_str());
|
expr = stringf("asUInt(%s)", expr.c_str());
|
||||||
|
|
||||||
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
|
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
|
||||||
|
|
@ -481,81 +491,121 @@ struct FirrtlWorker
|
||||||
|
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$and", "$or", "$eq", "$eqx",
|
if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$xnor", "$and", "$or", "$eq", "$eqx",
|
||||||
"$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$shr", "$sshr", "$sshl", "$shl",
|
"$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$shr", "$sshr", "$sshl", "$shl",
|
||||||
"$logic_and", "$logic_or"))
|
"$logic_and", "$logic_or", "$pow"))
|
||||||
{
|
{
|
||||||
string y_id = make_id(cell->name);
|
|
||||||
bool is_signed = cell->parameters.at("\\A_SIGNED").as_bool();
|
|
||||||
int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
|
|
||||||
string a_expr = make_expr(cell->getPort("\\A"));
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
string b_expr = make_expr(cell->getPort("\\B"));
|
string b_expr = make_expr(cell->getPort("\\B"));
|
||||||
int b_padded_width = cell->parameters.at("\\B_WIDTH").as_int();
|
|
||||||
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
||||||
|
|
||||||
if (cell->parameters.at("\\A_SIGNED").as_bool()) {
|
if (a_signed) {
|
||||||
a_expr = "asSInt(" + a_expr + ")";
|
a_expr = "asSInt(" + a_expr + ")";
|
||||||
}
|
// Expand the "A" operand to the result width
|
||||||
// Shift amount is always unsigned, and needn't be padded to result width.
|
if (a_width < y_width) {
|
||||||
if (!cell->type.in("$shr", "$sshr", "$shl", "$sshl")) {
|
a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
|
||||||
if (cell->parameters.at("\\B_SIGNED").as_bool()) {
|
a_width = y_width;
|
||||||
b_expr = "asSInt(" + b_expr + ")";
|
|
||||||
}
|
}
|
||||||
if (b_padded_width < y_width) {
|
}
|
||||||
auto b_sig = cell->getPort("\\B");
|
// Shift amount is always unsigned, and needn't be padded to result width,
|
||||||
b_padded_width = y_width;
|
// otherwise, we need to cast the b_expr appropriately
|
||||||
|
if (b_signed && !cell->type.in("$shr", "$sshr", "$shl", "$sshl", "$pow")) {
|
||||||
|
b_expr = "asSInt(" + b_expr + ")";
|
||||||
|
// Expand the "B" operand to the result width
|
||||||
|
if (b_width < y_width) {
|
||||||
|
b_expr = stringf("pad(%s, %d)", b_expr.c_str(), y_width);
|
||||||
|
b_width = y_width;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
|
// For the arithmetic ops, expand operand widths to result widths befor performing the operation.
|
||||||
|
// This corresponds (according to iverilog) to what verilog compilers implement.
|
||||||
|
if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$xnor", "$and", "$or"))
|
||||||
|
{
|
||||||
|
if (a_width < y_width) {
|
||||||
|
a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
|
||||||
|
a_width = y_width;
|
||||||
|
}
|
||||||
|
if (b_width < y_width) {
|
||||||
|
b_expr = stringf("pad(%s, %d)", b_expr.c_str(), y_width);
|
||||||
|
b_width = y_width;
|
||||||
|
}
|
||||||
|
}
|
||||||
|
// Assume the FIRRTL width is the width of "A"
|
||||||
|
firrtl_width = a_width;
|
||||||
auto a_sig = cell->getPort("\\A");
|
auto a_sig = cell->getPort("\\A");
|
||||||
|
|
||||||
if (cell->parameters.at("\\A_SIGNED").as_bool() & (cell->type == "$shr")) {
|
if (cell->type == "$add") {
|
||||||
a_expr = "asUInt(" + a_expr + ")";
|
primop = "add";
|
||||||
|
firrtl_is_signed = a_signed | b_signed;
|
||||||
|
firrtl_width = max(a_width, b_width);
|
||||||
|
} else if (cell->type == "$sub") {
|
||||||
|
primop = "sub";
|
||||||
|
firrtl_is_signed = true;
|
||||||
|
int a_widthInc = (!a_signed && b_signed) ? 2 : (a_signed && !b_signed) ? 1 : 0;
|
||||||
|
int b_widthInc = (a_signed && !b_signed) ? 2 : (!a_signed && b_signed) ? 1 : 0;
|
||||||
|
firrtl_width = max(a_width + a_widthInc, b_width + b_widthInc);
|
||||||
|
} else if (cell->type == "$mul") {
|
||||||
|
primop = "mul";
|
||||||
|
firrtl_is_signed = a_signed | b_signed;
|
||||||
|
firrtl_width = a_width + b_width;
|
||||||
|
} else if (cell->type == "$div") {
|
||||||
|
primop = "div";
|
||||||
|
firrtl_is_signed = a_signed | b_signed;
|
||||||
|
firrtl_width = a_width;
|
||||||
|
} else if (cell->type == "$mod") {
|
||||||
|
primop = "rem";
|
||||||
|
firrtl_width = min(a_width, b_width);
|
||||||
|
} else if (cell->type == "$and") {
|
||||||
|
primop = "and";
|
||||||
|
always_uint = true;
|
||||||
|
firrtl_width = max(a_width, b_width);
|
||||||
}
|
}
|
||||||
|
|
||||||
string primop;
|
|
||||||
bool always_uint = false;
|
|
||||||
if (cell->type == "$add") primop = "add";
|
|
||||||
else if (cell->type == "$sub") primop = "sub";
|
|
||||||
else if (cell->type == "$mul") primop = "mul";
|
|
||||||
else if (cell->type == "$div") primop = "div";
|
|
||||||
else if (cell->type == "$mod") primop = "rem";
|
|
||||||
else if (cell->type == "$and") {
|
|
||||||
primop = "and";
|
|
||||||
always_uint = true;
|
|
||||||
}
|
|
||||||
else if (cell->type == "$or" ) {
|
else if (cell->type == "$or" ) {
|
||||||
primop = "or";
|
primop = "or";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = max(a_width, b_width);
|
||||||
|
}
|
||||||
else if (cell->type == "$xor") {
|
else if (cell->type == "$xor") {
|
||||||
primop = "xor";
|
primop = "xor";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = max(a_width, b_width);
|
||||||
|
}
|
||||||
|
else if (cell->type == "$xnor") {
|
||||||
|
primop = "xnor";
|
||||||
|
always_uint = true;
|
||||||
|
firrtl_width = max(a_width, b_width);
|
||||||
|
}
|
||||||
else if ((cell->type == "$eq") | (cell->type == "$eqx")) {
|
else if ((cell->type == "$eq") | (cell->type == "$eqx")) {
|
||||||
primop = "eq";
|
primop = "eq";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if ((cell->type == "$ne") | (cell->type == "$nex")) {
|
else if ((cell->type == "$ne") | (cell->type == "$nex")) {
|
||||||
primop = "neq";
|
primop = "neq";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if (cell->type == "$gt") {
|
else if (cell->type == "$gt") {
|
||||||
primop = "gt";
|
primop = "gt";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if (cell->type == "$ge") {
|
else if (cell->type == "$ge") {
|
||||||
primop = "geq";
|
primop = "geq";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if (cell->type == "$lt") {
|
else if (cell->type == "$lt") {
|
||||||
primop = "lt";
|
primop = "lt";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if (cell->type == "$le") {
|
else if (cell->type == "$le") {
|
||||||
primop = "leq";
|
primop = "leq";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if ((cell->type == "$shl") | (cell->type == "$sshl")) {
|
else if ((cell->type == "$shl") | (cell->type == "$sshl")) {
|
||||||
// FIRRTL will widen the result (y) by the amount of the shift.
|
// FIRRTL will widen the result (y) by the amount of the shift.
|
||||||
// We'll need to offset this by extracting the un-widened portion as Verilog would do.
|
// We'll need to offset this by extracting the un-widened portion as Verilog would do.
|
||||||
|
|
@ -564,11 +614,14 @@ struct FirrtlWorker
|
||||||
auto b_sig = cell->getPort("\\B");
|
auto b_sig = cell->getPort("\\B");
|
||||||
if (b_sig.is_fully_const()) {
|
if (b_sig.is_fully_const()) {
|
||||||
primop = "shl";
|
primop = "shl";
|
||||||
b_expr = std::to_string(b_sig.as_int());
|
int shift_amount = b_sig.as_int();
|
||||||
|
b_expr = std::to_string(shift_amount);
|
||||||
|
firrtl_width = a_width + shift_amount;
|
||||||
} else {
|
} else {
|
||||||
primop = "dshl";
|
primop = "dshl";
|
||||||
// Convert from FIRRTL left shift semantics.
|
// Convert from FIRRTL left shift semantics.
|
||||||
b_expr = gen_dshl(b_expr, b_padded_width);
|
b_expr = gen_dshl(b_expr, b_width);
|
||||||
|
firrtl_width = a_width + (1 << b_width) - 1;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
else if ((cell->type == "$shr") | (cell->type == "$sshr")) {
|
else if ((cell->type == "$shr") | (cell->type == "$sshr")) {
|
||||||
|
|
@ -578,36 +631,86 @@ struct FirrtlWorker
|
||||||
auto b_sig = cell->getPort("\\B");
|
auto b_sig = cell->getPort("\\B");
|
||||||
if (b_sig.is_fully_const()) {
|
if (b_sig.is_fully_const()) {
|
||||||
primop = "shr";
|
primop = "shr";
|
||||||
b_expr = std::to_string(b_sig.as_int());
|
int shift_amount = b_sig.as_int();
|
||||||
|
b_expr = std::to_string(shift_amount);
|
||||||
|
firrtl_width = max(1, a_width - shift_amount);
|
||||||
} else {
|
} else {
|
||||||
primop = "dshr";
|
primop = "dshr";
|
||||||
|
firrtl_width = a_width;
|
||||||
|
}
|
||||||
|
// We'll need to do some special fixups if the source (and thus result) is signed.
|
||||||
|
if (firrtl_is_signed) {
|
||||||
|
// If this is a "logical" shift right, pretend the source is unsigned.
|
||||||
|
if (cell->type == "$shr") {
|
||||||
|
a_expr = "asUInt(" + a_expr + ")";
|
||||||
|
}
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
else if ((cell->type == "$logic_and")) {
|
else if ((cell->type == "$logic_and")) {
|
||||||
primop = "and";
|
primop = "and";
|
||||||
a_expr = "neq(" + a_expr + ", UInt(0))";
|
a_expr = "neq(" + a_expr + ", UInt(0))";
|
||||||
b_expr = "neq(" + b_expr + ", UInt(0))";
|
b_expr = "neq(" + b_expr + ", UInt(0))";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
else if ((cell->type == "$logic_or")) {
|
else if ((cell->type == "$logic_or")) {
|
||||||
primop = "or";
|
primop = "or";
|
||||||
a_expr = "neq(" + a_expr + ", UInt(0))";
|
a_expr = "neq(" + a_expr + ", UInt(0))";
|
||||||
b_expr = "neq(" + b_expr + ", UInt(0))";
|
b_expr = "neq(" + b_expr + ", UInt(0))";
|
||||||
always_uint = true;
|
always_uint = true;
|
||||||
}
|
firrtl_width = 1;
|
||||||
|
}
|
||||||
|
else if ((cell->type == "$pow")) {
|
||||||
|
if (a_sig.is_fully_const() && a_sig.as_int() == 2) {
|
||||||
|
// We'll convert this to a shift. To simplify things, change the a_expr to "1"
|
||||||
|
// so we can use b_expr directly as a shift amount.
|
||||||
|
// Only support 2 ** N (i.e., shift left)
|
||||||
|
// FIRRTL will widen the result (y) by the amount of the shift.
|
||||||
|
// We'll need to offset this by extracting the un-widened portion as Verilog would do.
|
||||||
|
a_expr = firrtl_is_signed ? "SInt(1)" : "UInt(1)";
|
||||||
|
extract_y_bits = true;
|
||||||
|
// Is the shift amount constant?
|
||||||
|
auto b_sig = cell->getPort("\\B");
|
||||||
|
if (b_sig.is_fully_const()) {
|
||||||
|
primop = "shl";
|
||||||
|
int shiftAmount = b_sig.as_int();
|
||||||
|
if (shiftAmount < 0) {
|
||||||
|
log_error("Negative power exponent - %d: %s.%s\n", shiftAmount, log_id(module), log_id(cell));
|
||||||
|
}
|
||||||
|
b_expr = std::to_string(shiftAmount);
|
||||||
|
firrtl_width = a_width + shiftAmount;
|
||||||
|
} else {
|
||||||
|
primop = "dshl";
|
||||||
|
// Convert from FIRRTL left shift semantics.
|
||||||
|
b_expr = gen_dshl(b_expr, b_width);
|
||||||
|
firrtl_width = a_width + (1 << b_width) - 1;
|
||||||
|
}
|
||||||
|
} else {
|
||||||
|
log_error("Non power 2: %s.%s\n", log_id(module), log_id(cell));
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
if (!cell->parameters.at("\\B_SIGNED").as_bool()) {
|
if (!cell->parameters.at("\\B_SIGNED").as_bool()) {
|
||||||
b_expr = "asUInt(" + b_expr + ")";
|
b_expr = "asUInt(" + b_expr + ")";
|
||||||
}
|
}
|
||||||
|
|
||||||
string expr = stringf("%s(%s, %s)", primop.c_str(), a_expr.c_str(), b_expr.c_str());
|
string expr;
|
||||||
|
// Deal with $xnor == ~^ (not xor)
|
||||||
// Deal with FIRRTL's "shift widens" semantics
|
if (primop == "xnor") {
|
||||||
if (extract_y_bits) {
|
expr = stringf("not(xor(%s, %s))", a_expr.c_str(), b_expr.c_str());
|
||||||
expr = stringf("bits(%s, %d, 0)", expr.c_str(), y_width - 1);
|
} else {
|
||||||
|
expr = stringf("%s(%s, %s)", primop.c_str(), a_expr.c_str(), b_expr.c_str());
|
||||||
}
|
}
|
||||||
|
|
||||||
if ((is_signed && !always_uint) || cell->type.in("$sub"))
|
// Deal with FIRRTL's "shift widens" semantics, or the need to widen the FIRRTL result.
|
||||||
|
// If the operation is signed, the FIRRTL width will be 1 one bit larger.
|
||||||
|
if (extract_y_bits) {
|
||||||
|
expr = stringf("bits(%s, %d, 0)", expr.c_str(), y_width - 1);
|
||||||
|
} else if (firrtl_is_signed && (firrtl_width + 1) < y_width) {
|
||||||
|
expr = stringf("pad(%s, %d)", expr.c_str(), y_width);
|
||||||
|
}
|
||||||
|
|
||||||
|
if ((firrtl_is_signed && !always_uint))
|
||||||
expr = stringf("asUInt(%s)", expr.c_str());
|
expr = stringf("asUInt(%s)", expr.c_str());
|
||||||
|
|
||||||
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
|
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
|
||||||
|
|
@ -618,7 +721,6 @@ struct FirrtlWorker
|
||||||
|
|
||||||
if (cell->type.in("$mux"))
|
if (cell->type.in("$mux"))
|
||||||
{
|
{
|
||||||
string y_id = make_id(cell->name);
|
|
||||||
int width = cell->parameters.at("\\WIDTH").as_int();
|
int width = cell->parameters.at("\\WIDTH").as_int();
|
||||||
string a_expr = make_expr(cell->getPort("\\A"));
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
string b_expr = make_expr(cell->getPort("\\B"));
|
string b_expr = make_expr(cell->getPort("\\B"));
|
||||||
|
|
@ -762,15 +864,14 @@ struct FirrtlWorker
|
||||||
if (clkpol == false)
|
if (clkpol == false)
|
||||||
log_error("Negative edge clock on FF %s.%s.\n", log_id(module), log_id(cell));
|
log_error("Negative edge clock on FF %s.%s.\n", log_id(module), log_id(cell));
|
||||||
|
|
||||||
string q_id = make_id(cell->name);
|
|
||||||
int width = cell->parameters.at("\\WIDTH").as_int();
|
int width = cell->parameters.at("\\WIDTH").as_int();
|
||||||
string expr = make_expr(cell->getPort("\\D"));
|
string expr = make_expr(cell->getPort("\\D"));
|
||||||
string clk_expr = "asClock(" + make_expr(cell->getPort("\\CLK")) + ")";
|
string clk_expr = "asClock(" + make_expr(cell->getPort("\\CLK")) + ")";
|
||||||
|
|
||||||
wire_decls.push_back(stringf(" reg %s: UInt<%d>, %s\n", q_id.c_str(), width, clk_expr.c_str()));
|
wire_decls.push_back(stringf(" reg %s: UInt<%d>, %s\n", y_id.c_str(), width, clk_expr.c_str()));
|
||||||
|
|
||||||
cell_exprs.push_back(stringf(" %s <= %s\n", q_id.c_str(), expr.c_str()));
|
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
|
||||||
register_reverse_wire_map(q_id, cell->getPort("\\Q"));
|
register_reverse_wire_map(y_id, cell->getPort("\\Q"));
|
||||||
|
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
|
@ -785,8 +886,6 @@ struct FirrtlWorker
|
||||||
// assign y = a[b +: y_width];
|
// assign y = a[b +: y_width];
|
||||||
// We'll extract the correct bits as part of the primop.
|
// We'll extract the correct bits as part of the primop.
|
||||||
|
|
||||||
string y_id = make_id(cell->name);
|
|
||||||
int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
|
|
||||||
string a_expr = make_expr(cell->getPort("\\A"));
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
// Get the initial bit selector
|
// Get the initial bit selector
|
||||||
string b_expr = make_expr(cell->getPort("\\B"));
|
string b_expr = make_expr(cell->getPort("\\B"));
|
||||||
|
|
@ -808,18 +907,15 @@ struct FirrtlWorker
|
||||||
// assign y = a >> b;
|
// assign y = a >> b;
|
||||||
// where b may be negative
|
// where b may be negative
|
||||||
|
|
||||||
string y_id = make_id(cell->name);
|
|
||||||
int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
|
|
||||||
string a_expr = make_expr(cell->getPort("\\A"));
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
string b_expr = make_expr(cell->getPort("\\B"));
|
string b_expr = make_expr(cell->getPort("\\B"));
|
||||||
auto b_string = b_expr.c_str();
|
auto b_string = b_expr.c_str();
|
||||||
int b_padded_width = cell->parameters.at("\\B_WIDTH").as_int();
|
|
||||||
string expr;
|
string expr;
|
||||||
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
||||||
|
|
||||||
if (cell->getParam("\\B_SIGNED").as_bool()) {
|
if (cell->getParam("\\B_SIGNED").as_bool()) {
|
||||||
// We generate a left or right shift based on the sign of b.
|
// We generate a left or right shift based on the sign of b.
|
||||||
std::string dshl = stringf("bits(dshl(%s, %s), 0, %d)", a_expr.c_str(), gen_dshl(b_expr, b_padded_width).c_str(), y_width);
|
std::string dshl = stringf("bits(dshl(%s, %s), 0, %d)", a_expr.c_str(), gen_dshl(b_expr, b_width).c_str(), y_width);
|
||||||
std::string dshr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
|
std::string dshr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
|
||||||
expr = stringf("mux(%s < 0, %s, %s)",
|
expr = stringf("mux(%s < 0, %s, %s)",
|
||||||
b_string,
|
b_string,
|
||||||
|
|
@ -833,6 +929,20 @@ struct FirrtlWorker
|
||||||
register_reverse_wire_map(y_id, cell->getPort("\\Y"));
|
register_reverse_wire_map(y_id, cell->getPort("\\Y"));
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
if (cell->type == "$pos") {
|
||||||
|
// assign y = a;
|
||||||
|
// printCell(cell);
|
||||||
|
string a_expr = make_expr(cell->getPort("\\A"));
|
||||||
|
// Verilog appears to treat the result as signed, so if the result is wider than "A",
|
||||||
|
// we need to pad.
|
||||||
|
if (a_width < y_width) {
|
||||||
|
a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
|
||||||
|
}
|
||||||
|
wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
|
||||||
|
cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), a_expr.c_str()));
|
||||||
|
register_reverse_wire_map(y_id, cell->getPort("\\Y"));
|
||||||
|
continue;
|
||||||
|
}
|
||||||
log_warning("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell));
|
log_warning("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell));
|
||||||
}
|
}
|
||||||
|
|
||||||
|
|
|
||||||
|
|
@ -83,20 +83,43 @@ struct JsonWriter
|
||||||
return str + " ]";
|
return str + " ]";
|
||||||
}
|
}
|
||||||
|
|
||||||
|
void write_parameter_value(const Const &value)
|
||||||
|
{
|
||||||
|
if ((value.flags & RTLIL::ConstFlags::CONST_FLAG_STRING) != 0) {
|
||||||
|
string str = value.decode_string();
|
||||||
|
int state = 0;
|
||||||
|
for (char c : str) {
|
||||||
|
if (state == 0) {
|
||||||
|
if (c == '0' || c == '1' || c == 'x' || c == 'z')
|
||||||
|
state = 0;
|
||||||
|
else if (c == ' ')
|
||||||
|
state = 1;
|
||||||
|
else
|
||||||
|
state = 2;
|
||||||
|
} else if (state == 1 && c != ' ')
|
||||||
|
state = 2;
|
||||||
|
}
|
||||||
|
if (state < 2)
|
||||||
|
str += " ";
|
||||||
|
f << get_string(str);
|
||||||
|
} else
|
||||||
|
if (GetSize(value) == 32 && value.is_fully_def()) {
|
||||||
|
if ((value.flags & RTLIL::ConstFlags::CONST_FLAG_SIGNED) != 0)
|
||||||
|
f << stringf("%d", value.as_int());
|
||||||
|
else
|
||||||
|
f << stringf("%u", value.as_int());
|
||||||
|
} else {
|
||||||
|
f << get_string(value.as_string());
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
void write_parameters(const dict<IdString, Const> ¶meters, bool for_module=false)
|
void write_parameters(const dict<IdString, Const> ¶meters, bool for_module=false)
|
||||||
{
|
{
|
||||||
bool first = true;
|
bool first = true;
|
||||||
for (auto ¶m : parameters) {
|
for (auto ¶m : parameters) {
|
||||||
f << stringf("%s\n", first ? "" : ",");
|
f << stringf("%s\n", first ? "" : ",");
|
||||||
f << stringf(" %s%s: ", for_module ? "" : " ", get_name(param.first).c_str());
|
f << stringf(" %s%s: ", for_module ? "" : " ", get_name(param.first).c_str());
|
||||||
if ((param.second.flags & RTLIL::ConstFlags::CONST_FLAG_STRING) != 0)
|
write_parameter_value(param.second);
|
||||||
f << get_string(param.second.decode_string());
|
|
||||||
else if (GetSize(param.second.bits) > 32)
|
|
||||||
f << get_string(param.second.as_string());
|
|
||||||
else if ((param.second.flags & RTLIL::ConstFlags::CONST_FLAG_SIGNED) != 0)
|
|
||||||
f << stringf("%d", param.second.as_int());
|
|
||||||
else
|
|
||||||
f << stringf("%u", param.second.as_int());
|
|
||||||
first = false;
|
first = false;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
@ -342,12 +365,13 @@ struct JsonBackend : public Backend {
|
||||||
log("Module and cell ports and nets can be single bit wide or vectors of multiple\n");
|
log("Module and cell ports and nets can be single bit wide or vectors of multiple\n");
|
||||||
log("bits. Each individual signal bit is assigned a unique integer. The <bit_vector>\n");
|
log("bits. Each individual signal bit is assigned a unique integer. The <bit_vector>\n");
|
||||||
log("values referenced above are vectors of this integers. Signal bits that are\n");
|
log("values referenced above are vectors of this integers. Signal bits that are\n");
|
||||||
log("connected to a constant driver are denoted as string \"0\" or \"1\" instead of\n");
|
log("connected to a constant driver are denoted as string \"0\", \"1\", \"x\", or\n");
|
||||||
log("a number.\n");
|
log("\"z\" instead of a number.\n");
|
||||||
log("\n");
|
log("\n");
|
||||||
log("Numeric parameter and attribute values up to 32 bits are written as decimal\n");
|
log("Numeric 32-bit parameter and attribute values are written as decimal values.\n");
|
||||||
log("values. Numbers larger than that are written as string holding the binary\n");
|
log("Bit verctors of different sizes, or ones containing 'x' or 'z' bits, are written\n");
|
||||||
log("representation of the value.\n");
|
log("as string holding the binary representation of the value. Strings are written\n");
|
||||||
|
log("as strings, with an appended blank in cases of strings of the form /[01xz]* */.\n");
|
||||||
log("\n");
|
log("\n");
|
||||||
log("For example the following Verilog code:\n");
|
log("For example the following Verilog code:\n");
|
||||||
log("\n");
|
log("\n");
|
||||||
|
|
|
||||||
|
|
@ -472,7 +472,7 @@ struct SimplecWorker
|
||||||
return;
|
return;
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type == "$_MUX_")
|
if (cell->type.in("$_MUX_", "$_NMUX_"))
|
||||||
{
|
{
|
||||||
SigBit a = sigmaps.at(work->module)(cell->getPort("\\A"));
|
SigBit a = sigmaps.at(work->module)(cell->getPort("\\A"));
|
||||||
SigBit b = sigmaps.at(work->module)(cell->getPort("\\B"));
|
SigBit b = sigmaps.at(work->module)(cell->getPort("\\B"));
|
||||||
|
|
@ -484,7 +484,9 @@ struct SimplecWorker
|
||||||
string s_expr = s.wire ? util_get_bit(work->prefix + cid(s.wire->name), s.wire->width, s.offset) : s.data ? "1" : "0";
|
string s_expr = s.wire ? util_get_bit(work->prefix + cid(s.wire->name), s.wire->width, s.offset) : s.data ? "1" : "0";
|
||||||
|
|
||||||
// casts to bool are a workaround for CBMC bug (https://github.com/diffblue/cbmc/issues/933)
|
// casts to bool are a workaround for CBMC bug (https://github.com/diffblue/cbmc/issues/933)
|
||||||
string expr = stringf("%s ? (bool)%s : (bool)%s", s_expr.c_str(), b_expr.c_str(), a_expr.c_str());
|
string expr = stringf("%s ? %s(bool)%s : %s(bool)%s", s_expr.c_str(),
|
||||||
|
cell->type == "$_NMUX_" ? "!" : "", b_expr.c_str(),
|
||||||
|
cell->type == "$_NMUX_" ? "!" : "", a_expr.c_str());
|
||||||
|
|
||||||
log_assert(y.wire);
|
log_assert(y.wire);
|
||||||
funct_declarations.push_back(util_set_bit(work->prefix + cid(y.wire->name), y.wire->width, y.offset, expr) +
|
funct_declarations.push_back(util_set_bit(work->prefix + cid(y.wire->name), y.wire->width, y.offset, expr) +
|
||||||
|
|
|
||||||
|
|
@ -510,6 +510,7 @@ struct Smt2Worker
|
||||||
if (cell->type == "$_ANDNOT_") return export_gate(cell, "(and A (not B))");
|
if (cell->type == "$_ANDNOT_") return export_gate(cell, "(and A (not B))");
|
||||||
if (cell->type == "$_ORNOT_") return export_gate(cell, "(or A (not B))");
|
if (cell->type == "$_ORNOT_") return export_gate(cell, "(or A (not B))");
|
||||||
if (cell->type == "$_MUX_") return export_gate(cell, "(ite S B A)");
|
if (cell->type == "$_MUX_") return export_gate(cell, "(ite S B A)");
|
||||||
|
if (cell->type == "$_NMUX_") return export_gate(cell, "(not (ite S B A))");
|
||||||
if (cell->type == "$_AOI3_") return export_gate(cell, "(not (or (and A B) C))");
|
if (cell->type == "$_AOI3_") return export_gate(cell, "(not (or (and A B) C))");
|
||||||
if (cell->type == "$_OAI3_") return export_gate(cell, "(not (and (or A B) C))");
|
if (cell->type == "$_OAI3_") return export_gate(cell, "(not (and (or A B) C))");
|
||||||
if (cell->type == "$_AOI4_") return export_gate(cell, "(not (or (and A B) (and C D)))");
|
if (cell->type == "$_AOI4_") return export_gate(cell, "(not (or (and A B) (and C D)))");
|
||||||
|
|
|
||||||
|
|
@ -537,6 +537,13 @@ struct SmvWorker
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
if (cell->type == "$_NMUX_")
|
||||||
|
{
|
||||||
|
definitions.push_back(stringf("%s := !(bool(%s) ? %s : %s);", lvalue(cell->getPort("\\Y")),
|
||||||
|
rvalue(cell->getPort("\\S")), rvalue(cell->getPort("\\B")), rvalue(cell->getPort("\\A"))));
|
||||||
|
continue;
|
||||||
|
}
|
||||||
|
|
||||||
if (cell->type == "$_AOI3_")
|
if (cell->type == "$_AOI3_")
|
||||||
{
|
{
|
||||||
definitions.push_back(stringf("%s := !((%s & %s) | %s);", lvalue(cell->getPort("\\Y")),
|
definitions.push_back(stringf("%s := !((%s & %s) | %s);", lvalue(cell->getPort("\\Y")),
|
||||||
|
|
|
||||||
|
|
@ -558,6 +558,20 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell)
|
||||||
return true;
|
return true;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
if (cell->type == "$_NMUX_") {
|
||||||
|
f << stringf("%s" "assign ", indent.c_str());
|
||||||
|
dump_sigspec(f, cell->getPort("\\Y"));
|
||||||
|
f << stringf(" = !(");
|
||||||
|
dump_cell_expr_port(f, cell, "S", false);
|
||||||
|
f << stringf(" ? ");
|
||||||
|
dump_attributes(f, "", cell->attributes, ' ');
|
||||||
|
dump_cell_expr_port(f, cell, "B", false);
|
||||||
|
f << stringf(" : ");
|
||||||
|
dump_cell_expr_port(f, cell, "A", false);
|
||||||
|
f << stringf(");\n");
|
||||||
|
return true;
|
||||||
|
}
|
||||||
|
|
||||||
if (cell->type.in("$_AOI3_", "$_OAI3_")) {
|
if (cell->type.in("$_AOI3_", "$_OAI3_")) {
|
||||||
f << stringf("%s" "assign ", indent.c_str());
|
f << stringf("%s" "assign ", indent.c_str());
|
||||||
dump_sigspec(f, cell->getPort("\\Y"));
|
dump_sigspec(f, cell->getPort("\\Y"));
|
||||||
|
|
|
||||||
|
|
@ -337,7 +337,7 @@ static RTLIL::Wire* createWireIfNotExists(RTLIL::Module *module, unsigned litera
|
||||||
return wire;
|
return wire;
|
||||||
}
|
}
|
||||||
|
|
||||||
void AigerReader::parse_xaiger()
|
void AigerReader::parse_xaiger(const dict<int,IdString> &box_lookup)
|
||||||
{
|
{
|
||||||
std::string header;
|
std::string header;
|
||||||
f >> header;
|
f >> header;
|
||||||
|
|
@ -373,21 +373,6 @@ void AigerReader::parse_xaiger()
|
||||||
if (n0)
|
if (n0)
|
||||||
module->connect(n0, RTLIL::S0);
|
module->connect(n0, RTLIL::S0);
|
||||||
|
|
||||||
dict<int,IdString> box_lookup;
|
|
||||||
for (auto m : design->modules()) {
|
|
||||||
auto it = m->attributes.find("\\abc_box_id");
|
|
||||||
if (it == m->attributes.end())
|
|
||||||
continue;
|
|
||||||
if (m->name.begins_with("$paramod"))
|
|
||||||
continue;
|
|
||||||
auto id = it->second.as_int();
|
|
||||||
auto r = box_lookup.insert(std::make_pair(id, m->name));
|
|
||||||
if (!r.second)
|
|
||||||
log_error("Module '%s' has the same abc_box_id = %d value as '%s'.\n",
|
|
||||||
log_id(m), id, log_id(r.first->second));
|
|
||||||
log_assert(r.second);
|
|
||||||
}
|
|
||||||
|
|
||||||
// Parse footer (symbol table, comments, etc.)
|
// Parse footer (symbol table, comments, etc.)
|
||||||
std::string s;
|
std::string s;
|
||||||
bool comment_seen = false;
|
bool comment_seen = false;
|
||||||
|
|
@ -986,16 +971,17 @@ void AigerReader::post_process()
|
||||||
}
|
}
|
||||||
|
|
||||||
module->fixup_ports();
|
module->fixup_ports();
|
||||||
|
|
||||||
|
// Insert into a new (temporary) design so that "clean" will only
|
||||||
|
// operate (and run checks on) this one module
|
||||||
|
RTLIL::Design *mapped_design = new RTLIL::Design;
|
||||||
|
mapped_design->add(module);
|
||||||
|
Pass::call(mapped_design, "clean");
|
||||||
|
mapped_design->modules_.erase(module->name);
|
||||||
|
delete mapped_design;
|
||||||
|
|
||||||
design->add(module);
|
design->add(module);
|
||||||
|
|
||||||
design->selection_stack.emplace_back(false);
|
|
||||||
RTLIL::Selection& sel = design->selection_stack.back();
|
|
||||||
sel.select(module);
|
|
||||||
|
|
||||||
Pass::call(design, "clean");
|
|
||||||
|
|
||||||
design->selection_stack.pop_back();
|
|
||||||
|
|
||||||
for (auto cell : module->cells().to_vector()) {
|
for (auto cell : module->cells().to_vector()) {
|
||||||
if (cell->type != "$lut") continue;
|
if (cell->type != "$lut") continue;
|
||||||
auto y_port = cell->getPort("\\Y").as_bit();
|
auto y_port = cell->getPort("\\Y").as_bit();
|
||||||
|
|
|
||||||
|
|
@ -47,7 +47,7 @@ struct AigerReader
|
||||||
|
|
||||||
AigerReader(RTLIL::Design *design, std::istream &f, RTLIL::IdString module_name, RTLIL::IdString clk_name, std::string map_filename, bool wideports);
|
AigerReader(RTLIL::Design *design, std::istream &f, RTLIL::IdString module_name, RTLIL::IdString clk_name, std::string map_filename, bool wideports);
|
||||||
void parse_aiger();
|
void parse_aiger();
|
||||||
void parse_xaiger();
|
void parse_xaiger(const dict<int,IdString> &box_lookup);
|
||||||
void parse_aiger_ascii();
|
void parse_aiger_ascii();
|
||||||
void parse_aiger_binary();
|
void parse_aiger_binary();
|
||||||
void post_process();
|
void post_process();
|
||||||
|
|
|
||||||
|
|
@ -1172,7 +1172,7 @@ void AST::process(RTLIL::Design *design, AstNode *ast, bool dump_ast1, bool dump
|
||||||
|
|
||||||
if (design->has((*it)->str)) {
|
if (design->has((*it)->str)) {
|
||||||
RTLIL::Module *existing_mod = design->module((*it)->str);
|
RTLIL::Module *existing_mod = design->module((*it)->str);
|
||||||
if (!nooverwrite && !overwrite && !existing_mod->get_bool_attribute("\\blackbox")) {
|
if (!nooverwrite && !overwrite && !existing_mod->get_blackbox_attribute()) {
|
||||||
log_file_error((*it)->filename, (*it)->linenum, "Re-definition of module `%s'!\n", (*it)->str.c_str());
|
log_file_error((*it)->filename, (*it)->linenum, "Re-definition of module `%s'!\n", (*it)->str.c_str());
|
||||||
} else if (nooverwrite) {
|
} else if (nooverwrite) {
|
||||||
log("Ignoring re-definition of module `%s' at %s:%d.\n",
|
log("Ignoring re-definition of module `%s' at %s:%d.\n",
|
||||||
|
|
|
||||||
|
|
@ -3439,19 +3439,11 @@ AstNode *AstNode::eval_const_function(AstNode *fcall)
|
||||||
{
|
{
|
||||||
std::map<std::string, AstNode*> backup_scope;
|
std::map<std::string, AstNode*> backup_scope;
|
||||||
std::map<std::string, AstNode::varinfo_t> variables;
|
std::map<std::string, AstNode::varinfo_t> variables;
|
||||||
bool delete_temp_block = false;
|
AstNode *block = new AstNode(AST_BLOCK);
|
||||||
AstNode *block = NULL;
|
|
||||||
|
|
||||||
size_t argidx = 0;
|
size_t argidx = 0;
|
||||||
for (auto child : children)
|
for (auto child : children)
|
||||||
{
|
{
|
||||||
if (child->type == AST_BLOCK)
|
|
||||||
{
|
|
||||||
log_assert(block == NULL);
|
|
||||||
block = child;
|
|
||||||
continue;
|
|
||||||
}
|
|
||||||
|
|
||||||
if (child->type == AST_WIRE)
|
if (child->type == AST_WIRE)
|
||||||
{
|
{
|
||||||
while (child->simplify(true, false, false, 1, -1, false, true)) { }
|
while (child->simplify(true, false, false, 1, -1, false, true)) { }
|
||||||
|
|
@ -3468,13 +3460,9 @@ AstNode *AstNode::eval_const_function(AstNode *fcall)
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
|
||||||
log_assert(block == NULL);
|
|
||||||
delete_temp_block = true;
|
|
||||||
block = new AstNode(AST_BLOCK);
|
|
||||||
block->children.push_back(child->clone());
|
block->children.push_back(child->clone());
|
||||||
}
|
}
|
||||||
|
|
||||||
log_assert(block != NULL);
|
|
||||||
log_assert(variables.count(str) != 0);
|
log_assert(variables.count(str) != 0);
|
||||||
|
|
||||||
while (!block->children.empty())
|
while (!block->children.empty())
|
||||||
|
|
@ -3642,8 +3630,7 @@ AstNode *AstNode::eval_const_function(AstNode *fcall)
|
||||||
log_abort();
|
log_abort();
|
||||||
}
|
}
|
||||||
|
|
||||||
if (delete_temp_block)
|
delete block;
|
||||||
delete block;
|
|
||||||
|
|
||||||
for (auto &it : backup_scope)
|
for (auto &it : backup_scope)
|
||||||
if (it.second == NULL)
|
if (it.second == NULL)
|
||||||
|
|
|
||||||
|
|
@ -25,7 +25,7 @@ struct JsonNode
|
||||||
{
|
{
|
||||||
char type; // S=String, N=Number, A=Array, D=Dict
|
char type; // S=String, N=Number, A=Array, D=Dict
|
||||||
string data_string;
|
string data_string;
|
||||||
int data_number;
|
int64_t data_number;
|
||||||
vector<JsonNode*> data_array;
|
vector<JsonNode*> data_array;
|
||||||
dict<string, JsonNode*> data_dict;
|
dict<string, JsonNode*> data_dict;
|
||||||
vector<string> data_dict_keys;
|
vector<string> data_dict_keys;
|
||||||
|
|
@ -206,6 +206,38 @@ struct JsonNode
|
||||||
}
|
}
|
||||||
};
|
};
|
||||||
|
|
||||||
|
Const json_parse_attr_param_value(JsonNode *node)
|
||||||
|
{
|
||||||
|
Const value;
|
||||||
|
|
||||||
|
if (node->type == 'S') {
|
||||||
|
string &s = node->data_string;
|
||||||
|
size_t cursor = s.find_first_not_of("01xz");
|
||||||
|
if (cursor == string::npos) {
|
||||||
|
value = Const::from_string(s);
|
||||||
|
} else if (s.find_first_not_of(' ', cursor) == string::npos) {
|
||||||
|
value = Const(s.substr(0, GetSize(s)-1));
|
||||||
|
} else {
|
||||||
|
value = Const(s);
|
||||||
|
}
|
||||||
|
} else
|
||||||
|
if (node->type == 'N') {
|
||||||
|
value = Const(node->data_number, 32);
|
||||||
|
if (node->data_number < 0)
|
||||||
|
value.flags |= RTLIL::CONST_FLAG_SIGNED;
|
||||||
|
} else
|
||||||
|
if (node->type == 'A') {
|
||||||
|
log_error("JSON attribute or parameter value is an array.\n");
|
||||||
|
} else
|
||||||
|
if (node->type == 'D') {
|
||||||
|
log_error("JSON attribute or parameter value is a dict.\n");
|
||||||
|
} else {
|
||||||
|
log_abort();
|
||||||
|
}
|
||||||
|
|
||||||
|
return value;
|
||||||
|
}
|
||||||
|
|
||||||
void json_parse_attr_param(dict<IdString, Const> &results, JsonNode *node)
|
void json_parse_attr_param(dict<IdString, Const> &results, JsonNode *node)
|
||||||
{
|
{
|
||||||
if (node->type != 'D')
|
if (node->type != 'D')
|
||||||
|
|
@ -214,28 +246,7 @@ void json_parse_attr_param(dict<IdString, Const> &results, JsonNode *node)
|
||||||
for (auto it : node->data_dict)
|
for (auto it : node->data_dict)
|
||||||
{
|
{
|
||||||
IdString key = RTLIL::escape_id(it.first.c_str());
|
IdString key = RTLIL::escape_id(it.first.c_str());
|
||||||
JsonNode *value_node = it.second;
|
Const value = json_parse_attr_param_value(it.second);
|
||||||
Const value;
|
|
||||||
|
|
||||||
if (value_node->type == 'S') {
|
|
||||||
string &s = value_node->data_string;
|
|
||||||
if (s.find_first_not_of("01xz") == string::npos)
|
|
||||||
value = Const::from_string(s);
|
|
||||||
else
|
|
||||||
value = Const(s);
|
|
||||||
} else
|
|
||||||
if (value_node->type == 'N') {
|
|
||||||
value = Const(value_node->data_number, 32);
|
|
||||||
} else
|
|
||||||
if (value_node->type == 'A') {
|
|
||||||
log_error("JSON attribute or parameter value is an array.\n");
|
|
||||||
} else
|
|
||||||
if (value_node->type == 'D') {
|
|
||||||
log_error("JSON attribute or parameter value is a dict.\n");
|
|
||||||
} else {
|
|
||||||
log_abort();
|
|
||||||
}
|
|
||||||
|
|
||||||
results[key] = value;
|
results[key] = value;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
|
||||||
|
|
@ -325,6 +325,8 @@ Aig::Aig(Cell *cell)
|
||||||
int A = mk.inport("\\A", i);
|
int A = mk.inport("\\A", i);
|
||||||
int B = mk.inport("\\B", i);
|
int B = mk.inport("\\B", i);
|
||||||
int Y = mk.mux_gate(A, B, S);
|
int Y = mk.mux_gate(A, B, S);
|
||||||
|
if (cell->type == "$_NMUX_")
|
||||||
|
Y = mk.not_gate(Y);
|
||||||
mk.outport(Y, "\\Y", i);
|
mk.outport(Y, "\\Y", i);
|
||||||
}
|
}
|
||||||
goto optimize;
|
goto optimize;
|
||||||
|
|
|
||||||
|
|
@ -193,6 +193,7 @@ struct CellTypes
|
||||||
setup_type("$_ANDNOT_", {A, B}, {Y}, true);
|
setup_type("$_ANDNOT_", {A, B}, {Y}, true);
|
||||||
setup_type("$_ORNOT_", {A, B}, {Y}, true);
|
setup_type("$_ORNOT_", {A, B}, {Y}, true);
|
||||||
setup_type("$_MUX_", {A, B, S}, {Y}, true);
|
setup_type("$_MUX_", {A, B, S}, {Y}, true);
|
||||||
|
setup_type("$_NMUX_", {A, B, S}, {Y}, true);
|
||||||
setup_type("$_MUX4_", {A, B, C, D, S, T}, {Y}, true);
|
setup_type("$_MUX4_", {A, B, C, D, S, T}, {Y}, true);
|
||||||
setup_type("$_MUX8_", {A, B, C, D, E, F, G, H, S, T, U}, {Y}, true);
|
setup_type("$_MUX8_", {A, B, C, D, E, F, G, H, S, T, U}, {Y}, true);
|
||||||
setup_type("$_MUX16_", {A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, S, T, U, V}, {Y}, true);
|
setup_type("$_MUX16_", {A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, S, T, U, V}, {Y}, true);
|
||||||
|
|
|
||||||
|
|
@ -145,7 +145,7 @@ struct ConstEval
|
||||||
if (cell->hasPort("\\B"))
|
if (cell->hasPort("\\B"))
|
||||||
sig_b = cell->getPort("\\B");
|
sig_b = cell->getPort("\\B");
|
||||||
|
|
||||||
if (cell->type == "$mux" || cell->type == "$pmux" || cell->type == "$_MUX_")
|
if (cell->type.in("$mux", "$pmux", "$_MUX_", "$_NMUX_"))
|
||||||
{
|
{
|
||||||
std::vector<RTLIL::SigSpec> y_candidates;
|
std::vector<RTLIL::SigSpec> y_candidates;
|
||||||
int count_maybe_set_s_bits = 0;
|
int count_maybe_set_s_bits = 0;
|
||||||
|
|
@ -175,7 +175,10 @@ struct ConstEval
|
||||||
for (auto &yc : y_candidates) {
|
for (auto &yc : y_candidates) {
|
||||||
if (!eval(yc, undef, cell))
|
if (!eval(yc, undef, cell))
|
||||||
return false;
|
return false;
|
||||||
y_values.push_back(yc.as_const());
|
if (cell->type == "$_NMUX_")
|
||||||
|
y_values.push_back(RTLIL::const_not(yc.as_const(), Const(), false, false, GetSize(yc)));
|
||||||
|
else
|
||||||
|
y_values.push_back(yc.as_const());
|
||||||
}
|
}
|
||||||
|
|
||||||
if (y_values.size() > 1)
|
if (y_values.size() > 1)
|
||||||
|
|
|
||||||
134
kernel/cost.h
134
kernel/cost.h
|
|
@ -24,62 +24,92 @@
|
||||||
|
|
||||||
YOSYS_NAMESPACE_BEGIN
|
YOSYS_NAMESPACE_BEGIN
|
||||||
|
|
||||||
int get_cell_cost(RTLIL::Cell *cell, dict<RTLIL::IdString, int> *mod_cost_cache = nullptr);
|
struct CellCosts
|
||||||
|
|
||||||
inline int get_cell_cost(RTLIL::IdString type, const dict<RTLIL::IdString, RTLIL::Const> ¶meters = dict<RTLIL::IdString, RTLIL::Const>(),
|
|
||||||
RTLIL::Design *design = nullptr, dict<RTLIL::IdString, int> *mod_cost_cache = nullptr)
|
|
||||||
{
|
{
|
||||||
static dict<RTLIL::IdString, int> gate_cost = {
|
static const dict<RTLIL::IdString, int>& default_gate_cost() {
|
||||||
{ "$_BUF_", 1 },
|
static const dict<RTLIL::IdString, int> db = {
|
||||||
{ "$_NOT_", 2 },
|
{ "$_BUF_", 1 },
|
||||||
{ "$_AND_", 4 },
|
{ "$_NOT_", 2 },
|
||||||
{ "$_NAND_", 4 },
|
{ "$_AND_", 4 },
|
||||||
{ "$_OR_", 4 },
|
{ "$_NAND_", 4 },
|
||||||
{ "$_NOR_", 4 },
|
{ "$_OR_", 4 },
|
||||||
{ "$_ANDNOT_", 4 },
|
{ "$_NOR_", 4 },
|
||||||
{ "$_ORNOT_", 4 },
|
{ "$_ANDNOT_", 4 },
|
||||||
{ "$_XOR_", 8 },
|
{ "$_ORNOT_", 4 },
|
||||||
{ "$_XNOR_", 8 },
|
{ "$_XOR_", 5 },
|
||||||
{ "$_AOI3_", 6 },
|
{ "$_XNOR_", 5 },
|
||||||
{ "$_OAI3_", 6 },
|
{ "$_AOI3_", 6 },
|
||||||
{ "$_AOI4_", 8 },
|
{ "$_OAI3_", 6 },
|
||||||
{ "$_OAI4_", 8 },
|
{ "$_AOI4_", 7 },
|
||||||
{ "$_MUX_", 4 }
|
{ "$_OAI4_", 7 },
|
||||||
};
|
{ "$_MUX_", 4 },
|
||||||
|
{ "$_NMUX_", 4 }
|
||||||
if (gate_cost.count(type))
|
};
|
||||||
return gate_cost.at(type);
|
return db;
|
||||||
|
|
||||||
if (parameters.empty() && design && design->module(type))
|
|
||||||
{
|
|
||||||
RTLIL::Module *mod = design->module(type);
|
|
||||||
|
|
||||||
if (mod->attributes.count("\\cost"))
|
|
||||||
return mod->attributes.at("\\cost").as_int();
|
|
||||||
|
|
||||||
dict<RTLIL::IdString, int> local_mod_cost_cache;
|
|
||||||
if (mod_cost_cache == nullptr)
|
|
||||||
mod_cost_cache = &local_mod_cost_cache;
|
|
||||||
|
|
||||||
if (mod_cost_cache->count(mod->name))
|
|
||||||
return mod_cost_cache->at(mod->name);
|
|
||||||
|
|
||||||
int module_cost = 1;
|
|
||||||
for (auto c : mod->cells())
|
|
||||||
module_cost += get_cell_cost(c, mod_cost_cache);
|
|
||||||
|
|
||||||
(*mod_cost_cache)[mod->name] = module_cost;
|
|
||||||
return module_cost;
|
|
||||||
}
|
}
|
||||||
|
|
||||||
log_warning("Can't determine cost of %s cell (%d parameters).\n", log_id(type), GetSize(parameters));
|
static const dict<RTLIL::IdString, int>& cmos_gate_cost() {
|
||||||
return 1;
|
static const dict<RTLIL::IdString, int> db = {
|
||||||
}
|
{ "$_BUF_", 1 },
|
||||||
|
{ "$_NOT_", 2 },
|
||||||
|
{ "$_AND_", 6 },
|
||||||
|
{ "$_NAND_", 4 },
|
||||||
|
{ "$_OR_", 6 },
|
||||||
|
{ "$_NOR_", 4 },
|
||||||
|
{ "$_ANDNOT_", 6 },
|
||||||
|
{ "$_ORNOT_", 6 },
|
||||||
|
{ "$_XOR_", 12 },
|
||||||
|
{ "$_XNOR_", 12 },
|
||||||
|
{ "$_AOI3_", 6 },
|
||||||
|
{ "$_OAI3_", 6 },
|
||||||
|
{ "$_AOI4_", 8 },
|
||||||
|
{ "$_OAI4_", 8 },
|
||||||
|
{ "$_MUX_", 12 },
|
||||||
|
{ "$_NMUX_", 10 }
|
||||||
|
};
|
||||||
|
return db;
|
||||||
|
}
|
||||||
|
|
||||||
inline int get_cell_cost(RTLIL::Cell *cell, dict<RTLIL::IdString, int> *mod_cost_cache)
|
dict<RTLIL::IdString, int> mod_cost_cache;
|
||||||
{
|
const dict<RTLIL::IdString, int> *gate_cost = nullptr;
|
||||||
return get_cell_cost(cell->type, cell->parameters, cell->module->design, mod_cost_cache);
|
Design *design = nullptr;
|
||||||
}
|
|
||||||
|
int get(RTLIL::IdString type) const
|
||||||
|
{
|
||||||
|
if (gate_cost && gate_cost->count(type))
|
||||||
|
return gate_cost->at(type);
|
||||||
|
|
||||||
|
log_warning("Can't determine cost of %s cell.\n", log_id(type));
|
||||||
|
return 1;
|
||||||
|
}
|
||||||
|
|
||||||
|
int get(RTLIL::Cell *cell)
|
||||||
|
{
|
||||||
|
if (gate_cost && gate_cost->count(cell->type))
|
||||||
|
return gate_cost->at(cell->type);
|
||||||
|
|
||||||
|
if (design && design->module(cell->type) && cell->parameters.empty())
|
||||||
|
{
|
||||||
|
RTLIL::Module *mod = design->module(cell->type);
|
||||||
|
|
||||||
|
if (mod->attributes.count("\\cost"))
|
||||||
|
return mod->attributes.at("\\cost").as_int();
|
||||||
|
|
||||||
|
if (mod_cost_cache.count(mod->name))
|
||||||
|
return mod_cost_cache.at(mod->name);
|
||||||
|
|
||||||
|
int module_cost = 1;
|
||||||
|
for (auto c : mod->cells())
|
||||||
|
module_cost += get(c);
|
||||||
|
|
||||||
|
mod_cost_cache[mod->name] = module_cost;
|
||||||
|
return module_cost;
|
||||||
|
}
|
||||||
|
|
||||||
|
log_warning("Can't determine cost of %s cell (%d parameters).\n", log_id(cell->type), GetSize(cell->parameters));
|
||||||
|
return 1;
|
||||||
|
}
|
||||||
|
};
|
||||||
|
|
||||||
YOSYS_NAMESPACE_END
|
YOSYS_NAMESPACE_END
|
||||||
|
|
||||||
|
|
|
||||||
|
|
@ -522,6 +522,12 @@ int main(int argc, char **argv)
|
||||||
if (!backend_command.empty())
|
if (!backend_command.empty())
|
||||||
run_backend(output_filename, backend_command);
|
run_backend(output_filename, backend_command);
|
||||||
|
|
||||||
|
yosys_design->check();
|
||||||
|
for (auto it : saved_designs)
|
||||||
|
it.second->check();
|
||||||
|
for (auto it : pushed_designs)
|
||||||
|
it->check();
|
||||||
|
|
||||||
if (!depsfile.empty())
|
if (!depsfile.empty())
|
||||||
{
|
{
|
||||||
FILE *f = fopen(depsfile.c_str(), "wt");
|
FILE *f = fopen(depsfile.c_str(), "wt");
|
||||||
|
|
|
||||||
|
|
@ -41,6 +41,45 @@ void decompress_gzip(const std::string &filename, std::stringstream &out)
|
||||||
}
|
}
|
||||||
gzclose(gzf);
|
gzclose(gzf);
|
||||||
}
|
}
|
||||||
|
|
||||||
|
/*
|
||||||
|
An output stream that uses a stringbuf to buffer data internally,
|
||||||
|
using zlib to write gzip-compressed data every time the stream is flushed.
|
||||||
|
*/
|
||||||
|
class gzip_ostream : public std::ostream {
|
||||||
|
public:
|
||||||
|
gzip_ostream()
|
||||||
|
{
|
||||||
|
rdbuf(&outbuf);
|
||||||
|
}
|
||||||
|
bool open(const std::string &filename)
|
||||||
|
{
|
||||||
|
return outbuf.open(filename);
|
||||||
|
}
|
||||||
|
private:
|
||||||
|
class gzip_streambuf : public std::stringbuf {
|
||||||
|
public:
|
||||||
|
gzip_streambuf() { };
|
||||||
|
bool open(const std::string &filename)
|
||||||
|
{
|
||||||
|
gzf = gzopen(filename.c_str(), "wb");
|
||||||
|
return gzf != nullptr;
|
||||||
|
}
|
||||||
|
virtual int sync() override
|
||||||
|
{
|
||||||
|
gzwrite(gzf, reinterpret_cast<const void *>(str().c_str()), unsigned(str().size()));
|
||||||
|
str("");
|
||||||
|
return 0;
|
||||||
|
}
|
||||||
|
~gzip_streambuf()
|
||||||
|
{
|
||||||
|
sync();
|
||||||
|
gzclose(gzf);
|
||||||
|
}
|
||||||
|
private:
|
||||||
|
gzFile gzf = nullptr;
|
||||||
|
} outbuf;
|
||||||
|
};
|
||||||
PRIVATE_NAMESPACE_END
|
PRIVATE_NAMESPACE_END
|
||||||
|
|
||||||
#endif
|
#endif
|
||||||
|
|
@ -256,8 +295,6 @@ void Pass::call(RTLIL::Design *design, std::vector<std::string> args)
|
||||||
pass_register[args[0]]->post_execute(state);
|
pass_register[args[0]]->post_execute(state);
|
||||||
while (design->selection_stack.size() > orig_sel_stack_pos)
|
while (design->selection_stack.size() > orig_sel_stack_pos)
|
||||||
design->selection_stack.pop_back();
|
design->selection_stack.pop_back();
|
||||||
|
|
||||||
design->check();
|
|
||||||
}
|
}
|
||||||
|
|
||||||
void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::string command)
|
void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::string command)
|
||||||
|
|
@ -339,8 +376,10 @@ void ScriptPass::run(std::string command, std::string info)
|
||||||
log(" %s\n", command.c_str());
|
log(" %s\n", command.c_str());
|
||||||
else
|
else
|
||||||
log(" %s %s\n", command.c_str(), info.c_str());
|
log(" %s %s\n", command.c_str(), info.c_str());
|
||||||
} else
|
} else {
|
||||||
Pass::call(active_design, command);
|
Pass::call(active_design, command);
|
||||||
|
active_design->check();
|
||||||
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
void ScriptPass::run_script(RTLIL::Design *design, std::string run_from, std::string run_to)
|
void ScriptPass::run_script(RTLIL::Design *design, std::string run_from, std::string run_to)
|
||||||
|
|
@ -534,8 +573,6 @@ void Frontend::frontend_call(RTLIL::Design *design, std::istream *f, std::string
|
||||||
args.push_back(filename);
|
args.push_back(filename);
|
||||||
frontend_register[args[0]]->execute(args, design);
|
frontend_register[args[0]]->execute(args, design);
|
||||||
}
|
}
|
||||||
|
|
||||||
design->check();
|
|
||||||
}
|
}
|
||||||
|
|
||||||
Backend::Backend(std::string name, std::string short_help) :
|
Backend::Backend(std::string name, std::string short_help) :
|
||||||
|
|
@ -588,14 +625,28 @@ void Backend::extra_args(std::ostream *&f, std::string &filename, std::vector<st
|
||||||
|
|
||||||
filename = arg;
|
filename = arg;
|
||||||
rewrite_filename(filename);
|
rewrite_filename(filename);
|
||||||
std::ofstream *ff = new std::ofstream;
|
if (filename.size() > 3 && filename.substr(filename.size()-3) == ".gz") {
|
||||||
ff->open(filename.c_str(), std::ofstream::trunc);
|
#ifdef YOSYS_ENABLE_ZLIB
|
||||||
yosys_output_files.insert(filename);
|
gzip_ostream *gf = new gzip_ostream;
|
||||||
if (ff->fail()) {
|
if (!gf->open(filename)) {
|
||||||
delete ff;
|
delete gf;
|
||||||
log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
|
log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
|
||||||
|
}
|
||||||
|
yosys_output_files.insert(filename);
|
||||||
|
f = gf;
|
||||||
|
#else
|
||||||
|
log_cmd_error("Yosys is compiled without zlib support, unable to write gzip output.\n");
|
||||||
|
#endif
|
||||||
|
} else {
|
||||||
|
std::ofstream *ff = new std::ofstream;
|
||||||
|
ff->open(filename.c_str(), std::ofstream::trunc);
|
||||||
|
yosys_output_files.insert(filename);
|
||||||
|
if (ff->fail()) {
|
||||||
|
delete ff;
|
||||||
|
log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
|
||||||
|
}
|
||||||
|
f = ff;
|
||||||
}
|
}
|
||||||
f = ff;
|
|
||||||
}
|
}
|
||||||
|
|
||||||
if (called_with_fp)
|
if (called_with_fp)
|
||||||
|
|
@ -645,8 +696,6 @@ void Backend::backend_call(RTLIL::Design *design, std::ostream *f, std::string f
|
||||||
|
|
||||||
while (design->selection_stack.size() > orig_sel_stack_pos)
|
while (design->selection_stack.size() > orig_sel_stack_pos)
|
||||||
design->selection_stack.pop_back();
|
design->selection_stack.pop_back();
|
||||||
|
|
||||||
design->check();
|
|
||||||
}
|
}
|
||||||
|
|
||||||
static struct CellHelpMessages {
|
static struct CellHelpMessages {
|
||||||
|
|
|
||||||
|
|
@ -1249,6 +1249,7 @@ namespace {
|
||||||
if (cell->type == "$_ANDNOT_") { check_gate("ABY"); return; }
|
if (cell->type == "$_ANDNOT_") { check_gate("ABY"); return; }
|
||||||
if (cell->type == "$_ORNOT_") { check_gate("ABY"); return; }
|
if (cell->type == "$_ORNOT_") { check_gate("ABY"); return; }
|
||||||
if (cell->type == "$_MUX_") { check_gate("ABSY"); return; }
|
if (cell->type == "$_MUX_") { check_gate("ABSY"); return; }
|
||||||
|
if (cell->type == "$_NMUX_") { check_gate("ABSY"); return; }
|
||||||
if (cell->type == "$_AOI3_") { check_gate("ABCY"); return; }
|
if (cell->type == "$_AOI3_") { check_gate("ABCY"); return; }
|
||||||
if (cell->type == "$_OAI3_") { check_gate("ABCY"); return; }
|
if (cell->type == "$_OAI3_") { check_gate("ABCY"); return; }
|
||||||
if (cell->type == "$_AOI4_") { check_gate("ABCDY"); return; }
|
if (cell->type == "$_AOI4_") { check_gate("ABCDY"); return; }
|
||||||
|
|
@ -1976,6 +1977,7 @@ DEF_METHOD_3(XnorGate, "$_XNOR_", A, B, Y)
|
||||||
DEF_METHOD_3(AndnotGate, "$_ANDNOT_", A, B, Y)
|
DEF_METHOD_3(AndnotGate, "$_ANDNOT_", A, B, Y)
|
||||||
DEF_METHOD_3(OrnotGate, "$_ORNOT_", A, B, Y)
|
DEF_METHOD_3(OrnotGate, "$_ORNOT_", A, B, Y)
|
||||||
DEF_METHOD_4(MuxGate, "$_MUX_", A, B, S, Y)
|
DEF_METHOD_4(MuxGate, "$_MUX_", A, B, S, Y)
|
||||||
|
DEF_METHOD_4(NmuxGate, "$_NMUX_", A, B, S, Y)
|
||||||
DEF_METHOD_4(Aoi3Gate, "$_AOI3_", A, B, C, Y)
|
DEF_METHOD_4(Aoi3Gate, "$_AOI3_", A, B, C, Y)
|
||||||
DEF_METHOD_4(Oai3Gate, "$_OAI3_", A, B, C, Y)
|
DEF_METHOD_4(Oai3Gate, "$_OAI3_", A, B, C, Y)
|
||||||
DEF_METHOD_5(Aoi4Gate, "$_AOI4_", A, B, C, D, Y)
|
DEF_METHOD_5(Aoi4Gate, "$_AOI4_", A, B, C, D, Y)
|
||||||
|
|
|
||||||
|
|
@ -788,6 +788,7 @@ public:
|
||||||
RTLIL::SigSpec extract(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec *other = NULL) const;
|
RTLIL::SigSpec extract(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec *other = NULL) const;
|
||||||
RTLIL::SigSpec extract(const pool<RTLIL::SigBit> &pattern, const RTLIL::SigSpec *other = NULL) const;
|
RTLIL::SigSpec extract(const pool<RTLIL::SigBit> &pattern, const RTLIL::SigSpec *other = NULL) const;
|
||||||
RTLIL::SigSpec extract(int offset, int length = 1) const;
|
RTLIL::SigSpec extract(int offset, int length = 1) const;
|
||||||
|
RTLIL::SigSpec extract_end(int offset) const { return extract(offset, width_ - offset); }
|
||||||
|
|
||||||
void append(const RTLIL::SigSpec &signal);
|
void append(const RTLIL::SigSpec &signal);
|
||||||
void append_bit(const RTLIL::SigBit &bit);
|
void append_bit(const RTLIL::SigBit &bit);
|
||||||
|
|
@ -834,6 +835,7 @@ public:
|
||||||
|
|
||||||
operator std::vector<RTLIL::SigChunk>() const { return chunks(); }
|
operator std::vector<RTLIL::SigChunk>() const { return chunks(); }
|
||||||
operator std::vector<RTLIL::SigBit>() const { return bits(); }
|
operator std::vector<RTLIL::SigBit>() const { return bits(); }
|
||||||
|
RTLIL::SigBit at(int offset, const RTLIL::SigBit &defval) { return offset < width_ ? (*this)[offset] : defval; }
|
||||||
|
|
||||||
unsigned int hash() const { if (!hash_) updhash(); return hash_; };
|
unsigned int hash() const { if (!hash_) updhash(); return hash_; };
|
||||||
|
|
||||||
|
|
@ -1154,6 +1156,7 @@ public:
|
||||||
RTLIL::Cell* addAndnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addAndnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
RTLIL::Cell* addOrnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addOrnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
RTLIL::Cell* addMuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addMuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
|
RTLIL::Cell* addNmuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
RTLIL::Cell* addAoi3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addAoi3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
RTLIL::Cell* addOai3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addOai3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
RTLIL::Cell* addAoi4Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
|
RTLIL::Cell* addAoi4Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
|
||||||
|
|
@ -1229,6 +1232,7 @@ public:
|
||||||
RTLIL::SigBit AndnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
|
RTLIL::SigBit AndnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
|
||||||
RTLIL::SigBit OrnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
|
RTLIL::SigBit OrnotGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
|
||||||
RTLIL::SigBit MuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, const std::string &src = "");
|
RTLIL::SigBit MuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, const std::string &src = "");
|
||||||
|
RTLIL::SigBit NmuxGate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, const std::string &src = "");
|
||||||
RTLIL::SigBit Aoi3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
|
RTLIL::SigBit Aoi3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
|
||||||
RTLIL::SigBit Oai3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
|
RTLIL::SigBit Oai3Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
|
||||||
RTLIL::SigBit Aoi4Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
|
RTLIL::SigBit Aoi4Gate (RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
|
||||||
|
|
|
||||||
|
|
@ -475,7 +475,7 @@ struct SatGen
|
||||||
return true;
|
return true;
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type == "$_MUX_" || cell->type == "$mux")
|
if (cell->type == "$_MUX_" || cell->type == "$mux" || cell->type == "$_NMUX_")
|
||||||
{
|
{
|
||||||
std::vector<int> a = importDefSigSpec(cell->getPort("\\A"), timestep);
|
std::vector<int> a = importDefSigSpec(cell->getPort("\\A"), timestep);
|
||||||
std::vector<int> b = importDefSigSpec(cell->getPort("\\B"), timestep);
|
std::vector<int> b = importDefSigSpec(cell->getPort("\\B"), timestep);
|
||||||
|
|
@ -483,7 +483,10 @@ struct SatGen
|
||||||
std::vector<int> y = importDefSigSpec(cell->getPort("\\Y"), timestep);
|
std::vector<int> y = importDefSigSpec(cell->getPort("\\Y"), timestep);
|
||||||
|
|
||||||
std::vector<int> yy = model_undef ? ez->vec_var(y.size()) : y;
|
std::vector<int> yy = model_undef ? ez->vec_var(y.size()) : y;
|
||||||
ez->assume(ez->vec_eq(ez->vec_ite(s.at(0), b, a), yy));
|
if (cell->type == "$_NMUX_")
|
||||||
|
ez->assume(ez->vec_eq(ez->vec_not(ez->vec_ite(s.at(0), b, a)), yy));
|
||||||
|
else
|
||||||
|
ez->assume(ez->vec_eq(ez->vec_ite(s.at(0), b, a), yy));
|
||||||
|
|
||||||
if (model_undef)
|
if (model_undef)
|
||||||
{
|
{
|
||||||
|
|
|
||||||
|
|
@ -964,14 +964,18 @@ void run_frontend(std::string filename, std::string command, std::string *backen
|
||||||
command += next_line;
|
command += next_line;
|
||||||
}
|
}
|
||||||
handle_label(command, from_to_active, run_from, run_to);
|
handle_label(command, from_to_active, run_from, run_to);
|
||||||
if (from_to_active)
|
if (from_to_active) {
|
||||||
Pass::call(design, command);
|
Pass::call(design, command);
|
||||||
|
design->check();
|
||||||
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
if (!command.empty()) {
|
if (!command.empty()) {
|
||||||
handle_label(command, from_to_active, run_from, run_to);
|
handle_label(command, from_to_active, run_from, run_to);
|
||||||
if (from_to_active)
|
if (from_to_active) {
|
||||||
Pass::call(design, command);
|
Pass::call(design, command);
|
||||||
|
design->check();
|
||||||
|
}
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
catch (...) {
|
catch (...) {
|
||||||
|
|
@ -1000,6 +1004,7 @@ void run_frontend(std::string filename, std::string command, std::string *backen
|
||||||
Pass::call(design, vector<string>({command, filename}));
|
Pass::call(design, vector<string>({command, filename}));
|
||||||
else
|
else
|
||||||
Frontend::frontend_call(design, NULL, filename, command);
|
Frontend::frontend_call(design, NULL, filename, command);
|
||||||
|
design->check();
|
||||||
}
|
}
|
||||||
|
|
||||||
void run_frontend(std::string filename, std::string command, RTLIL::Design *design)
|
void run_frontend(std::string filename, std::string command, RTLIL::Design *design)
|
||||||
|
|
@ -1183,6 +1188,7 @@ void shell(RTLIL::Design *design)
|
||||||
design->selection_stack.pop_back();
|
design->selection_stack.pop_back();
|
||||||
log_reset_stack();
|
log_reset_stack();
|
||||||
}
|
}
|
||||||
|
design->check();
|
||||||
}
|
}
|
||||||
if (command == NULL)
|
if (command == NULL)
|
||||||
printf("exit\n");
|
printf("exit\n");
|
||||||
|
|
|
||||||
|
|
@ -494,6 +494,6 @@ Add information about {\tt \$\_DFFE\_??\_}, {\tt \$\_DFFSR\_???\_}, {\tt \$\_DLA
|
||||||
\end{fixme}
|
\end{fixme}
|
||||||
|
|
||||||
\begin{fixme}
|
\begin{fixme}
|
||||||
Add information about {\tt \$\_AOI3\_}, {\tt \$\_OAI3\_}, {\tt \$\_AOI4\_}, and {\tt \$\_OAI4\_} cells.
|
Add information about {\tt \$\_AOI3\_}, {\tt \$\_OAI3\_}, {\tt \$\_AOI4\_}, {\tt \$\_OAI4\_}, and {\tt \$\_NMUX\_} cells.
|
||||||
\end{fixme}
|
\end{fixme}
|
||||||
|
|
||||||
|
|
|
||||||
|
|
@ -17,11 +17,10 @@
|
||||||
*
|
*
|
||||||
*/
|
*/
|
||||||
|
|
||||||
#include "kernel/register.h"
|
#include "kernel/yosys.h"
|
||||||
#include "kernel/celltypes.h"
|
#include "kernel/celltypes.h"
|
||||||
#include "passes/techmap/libparse.h"
|
#include "passes/techmap/libparse.h"
|
||||||
|
#include "kernel/cost.h"
|
||||||
#include "kernel/log.h"
|
|
||||||
|
|
||||||
USING_YOSYS_NAMESPACE
|
USING_YOSYS_NAMESPACE
|
||||||
PRIVATE_NAMESPACE_BEGIN
|
PRIVATE_NAMESPACE_BEGIN
|
||||||
|
|
@ -228,21 +227,16 @@ struct statdata_t
|
||||||
{
|
{
|
||||||
int tran_cnt = 0;
|
int tran_cnt = 0;
|
||||||
bool tran_cnt_exact = true;
|
bool tran_cnt_exact = true;
|
||||||
|
auto &gate_costs = CellCosts::cmos_gate_cost();
|
||||||
|
|
||||||
for (auto it : num_cells_by_type) {
|
for (auto it : num_cells_by_type) {
|
||||||
auto ctype = it.first;
|
auto ctype = it.first;
|
||||||
auto cnum = it.second;
|
auto cnum = it.second;
|
||||||
|
|
||||||
if (ctype == "$_NOT_")
|
if (gate_costs.count(ctype))
|
||||||
tran_cnt += 2*cnum;
|
tran_cnt += cnum * gate_costs.at(ctype);
|
||||||
else if (ctype.in("$_NAND_", "$_NOR_"))
|
|
||||||
tran_cnt += 4*cnum;
|
|
||||||
else if (ctype.in("$_AOI3_", "$_OAI3_"))
|
|
||||||
tran_cnt += 6*cnum;
|
|
||||||
else if (ctype.in("$_AOI4_", "$_OAI4_"))
|
|
||||||
tran_cnt += 8*cnum;
|
|
||||||
else if (ctype.in("$_DFF_P_", "$_DFF_N_"))
|
else if (ctype.in("$_DFF_P_", "$_DFF_N_"))
|
||||||
tran_cnt += 16*cnum;
|
tran_cnt += cnum * 16;
|
||||||
else
|
else
|
||||||
tran_cnt_exact = false;
|
tran_cnt_exact = false;
|
||||||
}
|
}
|
||||||
|
|
|
||||||
|
|
@ -641,6 +641,31 @@ void replace_const_cells(RTLIL::Design *design, RTLIL::Module *module, bool cons
|
||||||
did_something = true;
|
did_something = true;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
|
if (cell->type.in("$add", "$sub")) {
|
||||||
|
RTLIL::SigSpec sig_a = assign_map(cell->getPort("\\A"));
|
||||||
|
RTLIL::SigSpec sig_b = assign_map(cell->getPort("\\B"));
|
||||||
|
RTLIL::SigSpec sig_y = cell->getPort("\\Y");
|
||||||
|
bool sub = cell->type == "$sub";
|
||||||
|
|
||||||
|
int i;
|
||||||
|
for (i = 0; i < GetSize(sig_y); i++) {
|
||||||
|
if (sig_b.at(i, State::Sx) == State::S0 && sig_a.at(i, State::Sx) != State::Sx)
|
||||||
|
module->connect(sig_y[i], sig_a[i]);
|
||||||
|
else if (!sub && sig_a.at(i, State::Sx) == State::S0 && sig_b.at(i, State::Sx) != State::Sx)
|
||||||
|
module->connect(sig_y[i], sig_b[i]);
|
||||||
|
else
|
||||||
|
break;
|
||||||
|
}
|
||||||
|
if (i > 0) {
|
||||||
|
cover_list("opt.opt_expr.fine", "$add", "$sub", cell->type.str());
|
||||||
|
cell->setPort("\\A", sig_a.extract_end(i));
|
||||||
|
cell->setPort("\\B", sig_b.extract_end(i));
|
||||||
|
cell->setPort("\\Y", sig_y.extract_end(i));
|
||||||
|
cell->fixup_parameters();
|
||||||
|
did_something = true;
|
||||||
|
}
|
||||||
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type == "$reduce_xor" || cell->type == "$reduce_xnor" || cell->type == "$shift" || cell->type == "$shiftx" ||
|
if (cell->type == "$reduce_xor" || cell->type == "$reduce_xnor" || cell->type == "$shift" || cell->type == "$shiftx" ||
|
||||||
|
|
|
||||||
|
|
@ -101,6 +101,12 @@ struct OptLutWorker
|
||||||
{
|
{
|
||||||
if (cell->type == "$lut")
|
if (cell->type == "$lut")
|
||||||
{
|
{
|
||||||
|
if (cell->has_keep_attr())
|
||||||
|
continue;
|
||||||
|
SigBit lut_output = cell->getPort("\\Y");
|
||||||
|
if (lut_output.wire->get_bool_attribute("\\keep"))
|
||||||
|
continue;
|
||||||
|
|
||||||
int lut_width = cell->getParam("\\WIDTH").as_int();
|
int lut_width = cell->getParam("\\WIDTH").as_int();
|
||||||
SigSpec lut_input = cell->getPort("\\A");
|
SigSpec lut_input = cell->getPort("\\A");
|
||||||
int lut_arity = 0;
|
int lut_arity = 0;
|
||||||
|
|
|
||||||
|
|
@ -342,9 +342,9 @@ struct WreduceWorker
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type.in("$pos", "$add", "$mul", "$and", "$or", "$xor"))
|
if (cell->type.in("$pos", "$add", "$mul", "$and", "$or", "$xor", "$sub"))
|
||||||
{
|
{
|
||||||
bool is_signed = cell->getParam("\\A_SIGNED").as_bool();
|
bool is_signed = cell->getParam("\\A_SIGNED").as_bool() || cell->type == "$sub";
|
||||||
|
|
||||||
int a_size = 0, b_size = 0;
|
int a_size = 0, b_size = 0;
|
||||||
if (cell->hasPort("\\A")) a_size = GetSize(cell->getPort("\\A"));
|
if (cell->hasPort("\\A")) a_size = GetSize(cell->getPort("\\A"));
|
||||||
|
|
@ -352,7 +352,7 @@ struct WreduceWorker
|
||||||
|
|
||||||
int max_y_size = max(a_size, b_size);
|
int max_y_size = max(a_size, b_size);
|
||||||
|
|
||||||
if (cell->type == "$add")
|
if (cell->type.in("$add", "$sub"))
|
||||||
max_y_size++;
|
max_y_size++;
|
||||||
|
|
||||||
if (cell->type == "$mul")
|
if (cell->type == "$mul")
|
||||||
|
|
|
||||||
|
|
@ -1,4 +1,5 @@
|
||||||
OBJS += passes/pmgen/ice40_dsp.o
|
OBJS += passes/pmgen/ice40_dsp.o
|
||||||
|
OBJS += passes/pmgen/ice40_wrapcarry.o
|
||||||
OBJS += passes/pmgen/peepopt.o
|
OBJS += passes/pmgen/peepopt.o
|
||||||
|
|
||||||
# --------------------------------------
|
# --------------------------------------
|
||||||
|
|
@ -12,6 +13,15 @@ passes/pmgen/ice40_dsp_pm.h: passes/pmgen/pmgen.py passes/pmgen/ice40_dsp.pmg
|
||||||
|
|
||||||
# --------------------------------------
|
# --------------------------------------
|
||||||
|
|
||||||
|
passes/pmgen/ice40_wrapcarry.o: passes/pmgen/ice40_wrapcarry_pm.h
|
||||||
|
EXTRA_OBJS += passes/pmgen/ice40_wrapcarry_pm.h
|
||||||
|
.SECONDARY: passes/pmgen/ice40_wrapcarry_pm.h
|
||||||
|
|
||||||
|
passes/pmgen/ice40_wrapcarry_pm.h: passes/pmgen/pmgen.py passes/pmgen/ice40_wrapcarry.pmg
|
||||||
|
$(P) mkdir -p passes/pmgen && python3 $< -o $@ -p ice40_wrapcarry $(filter-out $<,$^)
|
||||||
|
|
||||||
|
# --------------------------------------
|
||||||
|
|
||||||
passes/pmgen/peepopt.o: passes/pmgen/peepopt_pm.h
|
passes/pmgen/peepopt.o: passes/pmgen/peepopt_pm.h
|
||||||
EXTRA_OBJS += passes/pmgen/peepopt_pm.h
|
EXTRA_OBJS += passes/pmgen/peepopt_pm.h
|
||||||
.SECONDARY: passes/pmgen/peepopt_pm.h
|
.SECONDARY: passes/pmgen/peepopt_pm.h
|
||||||
|
|
|
||||||
90
passes/pmgen/ice40_wrapcarry.cc
Normal file
90
passes/pmgen/ice40_wrapcarry.cc
Normal file
|
|
@ -0,0 +1,90 @@
|
||||||
|
/*
|
||||||
|
* yosys -- Yosys Open SYnthesis Suite
|
||||||
|
*
|
||||||
|
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
|
||||||
|
*
|
||||||
|
* Permission to use, copy, modify, and/or distribute this software for any
|
||||||
|
* purpose with or without fee is hereby granted, provided that the above
|
||||||
|
* copyright notice and this permission notice appear in all copies.
|
||||||
|
*
|
||||||
|
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
|
||||||
|
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
|
||||||
|
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
|
||||||
|
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
|
||||||
|
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
|
||||||
|
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
|
||||||
|
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
|
||||||
|
*
|
||||||
|
*/
|
||||||
|
|
||||||
|
#include "kernel/yosys.h"
|
||||||
|
#include "kernel/sigtools.h"
|
||||||
|
|
||||||
|
USING_YOSYS_NAMESPACE
|
||||||
|
PRIVATE_NAMESPACE_BEGIN
|
||||||
|
|
||||||
|
#include "passes/pmgen/ice40_wrapcarry_pm.h"
|
||||||
|
|
||||||
|
void create_ice40_wrapcarry(ice40_wrapcarry_pm &pm)
|
||||||
|
{
|
||||||
|
auto &st = pm.st_ice40_wrapcarry;
|
||||||
|
|
||||||
|
#if 0
|
||||||
|
log("\n");
|
||||||
|
log("carry: %s\n", log_id(st.carry, "--"));
|
||||||
|
log("lut: %s\n", log_id(st.lut, "--"));
|
||||||
|
#endif
|
||||||
|
|
||||||
|
log(" replacing SB_LUT + SB_CARRY with $__ICE40_CARRY_WRAPPER cell.\n");
|
||||||
|
|
||||||
|
Cell *cell = pm.module->addCell(NEW_ID, "$__ICE40_CARRY_WRAPPER");
|
||||||
|
pm.module->swap_names(cell, st.carry);
|
||||||
|
|
||||||
|
cell->setPort("\\A", st.carry->getPort("\\I0"));
|
||||||
|
cell->setPort("\\B", st.carry->getPort("\\I1"));
|
||||||
|
cell->setPort("\\CI", st.carry->getPort("\\CI"));
|
||||||
|
cell->setPort("\\CO", st.carry->getPort("\\CO"));
|
||||||
|
|
||||||
|
cell->setPort("\\I0", st.lut->getPort("\\I0"));
|
||||||
|
cell->setPort("\\I3", st.lut->getPort("\\I3"));
|
||||||
|
cell->setPort("\\O", st.lut->getPort("\\O"));
|
||||||
|
cell->setParam("\\LUT", st.lut->getParam("\\LUT_INIT"));
|
||||||
|
|
||||||
|
pm.autoremove(st.carry);
|
||||||
|
pm.autoremove(st.lut);
|
||||||
|
}
|
||||||
|
|
||||||
|
struct Ice40WrapCarryPass : public Pass {
|
||||||
|
Ice40WrapCarryPass() : Pass("ice40_wrapcarry", "iCE40: wrap carries") { }
|
||||||
|
void help() YS_OVERRIDE
|
||||||
|
{
|
||||||
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
||||||
|
log("\n");
|
||||||
|
log(" ice40_wrapcarry [selection]\n");
|
||||||
|
log("\n");
|
||||||
|
log("Wrap manually instantiated SB_CARRY cells, along with their associated SB_LUTs,\n");
|
||||||
|
log("into an internal $__ICE40_CARRY_WRAPPER cell for preservation across technology\n");
|
||||||
|
log("mapping.");
|
||||||
|
log("\n");
|
||||||
|
}
|
||||||
|
void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
|
||||||
|
{
|
||||||
|
log_header(design, "Executing ICE40_WRAPCARRY pass (wrap carries).\n");
|
||||||
|
|
||||||
|
size_t argidx;
|
||||||
|
for (argidx = 1; argidx < args.size(); argidx++)
|
||||||
|
{
|
||||||
|
// if (args[argidx] == "-singleton") {
|
||||||
|
// singleton_mode = true;
|
||||||
|
// continue;
|
||||||
|
// }
|
||||||
|
break;
|
||||||
|
}
|
||||||
|
extra_args(args, argidx, design);
|
||||||
|
|
||||||
|
for (auto module : design->selected_modules())
|
||||||
|
ice40_wrapcarry_pm(module, module->selected_cells()).run_ice40_wrapcarry(create_ice40_wrapcarry);
|
||||||
|
}
|
||||||
|
} Ice40WrapCarryPass;
|
||||||
|
|
||||||
|
PRIVATE_NAMESPACE_END
|
||||||
11
passes/pmgen/ice40_wrapcarry.pmg
Normal file
11
passes/pmgen/ice40_wrapcarry.pmg
Normal file
|
|
@ -0,0 +1,11 @@
|
||||||
|
pattern ice40_wrapcarry
|
||||||
|
|
||||||
|
match carry
|
||||||
|
select carry->type.in(\SB_CARRY)
|
||||||
|
endmatch
|
||||||
|
|
||||||
|
match lut
|
||||||
|
select lut->type.in(\SB_LUT4)
|
||||||
|
index <SigSpec> port(lut, \I1) === port(carry, \I0)
|
||||||
|
index <SigSpec> port(lut, \I2) === port(carry, \I1)
|
||||||
|
endmatch
|
||||||
|
|
@ -82,14 +82,23 @@ struct PruneWorker
|
||||||
if (root) {
|
if (root) {
|
||||||
bool promotable = true;
|
bool promotable = true;
|
||||||
for (auto &bit : lhs) {
|
for (auto &bit : lhs) {
|
||||||
if (bit.wire && affected[bit]) {
|
if (bit.wire && affected[bit] && !assigned[bit]) {
|
||||||
promotable = false;
|
promotable = false;
|
||||||
break;
|
break;
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
if (promotable) {
|
if (promotable) {
|
||||||
|
RTLIL::SigSpec rhs = sigmap(it->second);
|
||||||
|
RTLIL::SigSig conn;
|
||||||
|
for (int i = 0; i < GetSize(lhs); i++) {
|
||||||
|
RTLIL::SigBit lhs_bit = lhs[i];
|
||||||
|
if (lhs_bit.wire && !assigned[lhs_bit]) {
|
||||||
|
conn.first.append_bit(lhs_bit);
|
||||||
|
conn.second.append(rhs.extract(i));
|
||||||
|
}
|
||||||
|
}
|
||||||
promoted_count++;
|
promoted_count++;
|
||||||
module->connect(*it);
|
module->connect(conn);
|
||||||
remove.insert(*it);
|
remove.insert(*it);
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
|
||||||
|
|
@ -82,6 +82,7 @@ enum class gate_type_t {
|
||||||
G_ANDNOT,
|
G_ANDNOT,
|
||||||
G_ORNOT,
|
G_ORNOT,
|
||||||
G_MUX,
|
G_MUX,
|
||||||
|
G_NMUX,
|
||||||
G_AOI3,
|
G_AOI3,
|
||||||
G_OAI3,
|
G_OAI3,
|
||||||
G_AOI4,
|
G_AOI4,
|
||||||
|
|
@ -112,7 +113,7 @@ std::vector<gate_t> signal_list;
|
||||||
std::map<RTLIL::SigBit, int> signal_map;
|
std::map<RTLIL::SigBit, int> signal_map;
|
||||||
std::map<RTLIL::SigBit, RTLIL::State> signal_init;
|
std::map<RTLIL::SigBit, RTLIL::State> signal_init;
|
||||||
pool<std::string> enabled_gates;
|
pool<std::string> enabled_gates;
|
||||||
bool recover_init;
|
bool recover_init, cmos_cost;
|
||||||
|
|
||||||
bool clk_polarity, en_polarity;
|
bool clk_polarity, en_polarity;
|
||||||
RTLIL::SigSpec clk_sig, en_sig;
|
RTLIL::SigSpec clk_sig, en_sig;
|
||||||
|
|
@ -258,7 +259,7 @@ void extract_cell(RTLIL::Cell *cell, bool keepff)
|
||||||
return;
|
return;
|
||||||
}
|
}
|
||||||
|
|
||||||
if (cell->type == "$_MUX_")
|
if (cell->type.in("$_MUX_", "$_NMUX_"))
|
||||||
{
|
{
|
||||||
RTLIL::SigSpec sig_a = cell->getPort("\\A");
|
RTLIL::SigSpec sig_a = cell->getPort("\\A");
|
||||||
RTLIL::SigSpec sig_b = cell->getPort("\\B");
|
RTLIL::SigSpec sig_b = cell->getPort("\\B");
|
||||||
|
|
@ -274,7 +275,7 @@ void extract_cell(RTLIL::Cell *cell, bool keepff)
|
||||||
int mapped_b = map_signal(sig_b);
|
int mapped_b = map_signal(sig_b);
|
||||||
int mapped_s = map_signal(sig_s);
|
int mapped_s = map_signal(sig_s);
|
||||||
|
|
||||||
map_signal(sig_y, G(MUX), mapped_a, mapped_b, mapped_s);
|
map_signal(sig_y, cell->type == "$_MUX_" ? G(MUX) : G(NMUX), mapped_a, mapped_b, mapped_s);
|
||||||
|
|
||||||
module->remove(cell);
|
module->remove(cell);
|
||||||
return;
|
return;
|
||||||
|
|
@ -886,6 +887,10 @@ void abc_module(RTLIL::Design *design, RTLIL::Module *current_module, std::strin
|
||||||
fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
|
fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
|
||||||
fprintf(f, "1-0 1\n");
|
fprintf(f, "1-0 1\n");
|
||||||
fprintf(f, "-11 1\n");
|
fprintf(f, "-11 1\n");
|
||||||
|
} else if (si.type == G(NMUX)) {
|
||||||
|
fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
|
||||||
|
fprintf(f, "0-0 1\n");
|
||||||
|
fprintf(f, "-01 1\n");
|
||||||
} else if (si.type == G(AOI3)) {
|
} else if (si.type == G(AOI3)) {
|
||||||
fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
|
fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
|
||||||
fprintf(f, "-00 1\n");
|
fprintf(f, "-00 1\n");
|
||||||
|
|
@ -926,46 +931,50 @@ void abc_module(RTLIL::Design *design, RTLIL::Module *current_module, std::strin
|
||||||
{
|
{
|
||||||
log_header(design, "Executing ABC.\n");
|
log_header(design, "Executing ABC.\n");
|
||||||
|
|
||||||
|
auto &cell_cost = cmos_cost ? CellCosts::cmos_gate_cost() : CellCosts::default_gate_cost();
|
||||||
|
|
||||||
buffer = stringf("%s/stdcells.genlib", tempdir_name.c_str());
|
buffer = stringf("%s/stdcells.genlib", tempdir_name.c_str());
|
||||||
f = fopen(buffer.c_str(), "wt");
|
f = fopen(buffer.c_str(), "wt");
|
||||||
if (f == NULL)
|
if (f == NULL)
|
||||||
log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
|
log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
|
||||||
fprintf(f, "GATE ZERO 1 Y=CONST0;\n");
|
fprintf(f, "GATE ZERO 1 Y=CONST0;\n");
|
||||||
fprintf(f, "GATE ONE 1 Y=CONST1;\n");
|
fprintf(f, "GATE ONE 1 Y=CONST1;\n");
|
||||||
fprintf(f, "GATE BUF %d Y=A; PIN * NONINV 1 999 1 0 1 0\n", get_cell_cost("$_BUF_"));
|
fprintf(f, "GATE BUF %d Y=A; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at("$_BUF_"));
|
||||||
fprintf(f, "GATE NOT %d Y=!A; PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_NOT_"));
|
fprintf(f, "GATE NOT %d Y=!A; PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_NOT_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("AND"))
|
if (enabled_gates.empty() || enabled_gates.count("AND"))
|
||||||
fprintf(f, "GATE AND %d Y=A*B; PIN * NONINV 1 999 1 0 1 0\n", get_cell_cost("$_AND_"));
|
fprintf(f, "GATE AND %d Y=A*B; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at("$_AND_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("NAND"))
|
if (enabled_gates.empty() || enabled_gates.count("NAND"))
|
||||||
fprintf(f, "GATE NAND %d Y=!(A*B); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_NAND_"));
|
fprintf(f, "GATE NAND %d Y=!(A*B); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_NAND_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("OR"))
|
if (enabled_gates.empty() || enabled_gates.count("OR"))
|
||||||
fprintf(f, "GATE OR %d Y=A+B; PIN * NONINV 1 999 1 0 1 0\n", get_cell_cost("$_OR_"));
|
fprintf(f, "GATE OR %d Y=A+B; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at("$_OR_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("NOR"))
|
if (enabled_gates.empty() || enabled_gates.count("NOR"))
|
||||||
fprintf(f, "GATE NOR %d Y=!(A+B); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_NOR_"));
|
fprintf(f, "GATE NOR %d Y=!(A+B); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_NOR_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("XOR"))
|
if (enabled_gates.empty() || enabled_gates.count("XOR"))
|
||||||
fprintf(f, "GATE XOR %d Y=(A*!B)+(!A*B); PIN * UNKNOWN 1 999 1 0 1 0\n", get_cell_cost("$_XOR_"));
|
fprintf(f, "GATE XOR %d Y=(A*!B)+(!A*B); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_XOR_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("XNOR"))
|
if (enabled_gates.empty() || enabled_gates.count("XNOR"))
|
||||||
fprintf(f, "GATE XNOR %d Y=(A*B)+(!A*!B); PIN * UNKNOWN 1 999 1 0 1 0\n", get_cell_cost("$_XNOR_"));
|
fprintf(f, "GATE XNOR %d Y=(A*B)+(!A*!B); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_XNOR_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("ANDNOT"))
|
if (enabled_gates.empty() || enabled_gates.count("ANDNOT"))
|
||||||
fprintf(f, "GATE ANDNOT %d Y=A*!B; PIN * UNKNOWN 1 999 1 0 1 0\n", get_cell_cost("$_ANDNOT_"));
|
fprintf(f, "GATE ANDNOT %d Y=A*!B; PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_ANDNOT_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("ORNOT"))
|
if (enabled_gates.empty() || enabled_gates.count("ORNOT"))
|
||||||
fprintf(f, "GATE ORNOT %d Y=A+!B; PIN * UNKNOWN 1 999 1 0 1 0\n", get_cell_cost("$_ORNOT_"));
|
fprintf(f, "GATE ORNOT %d Y=A+!B; PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_ORNOT_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("AOI3"))
|
if (enabled_gates.empty() || enabled_gates.count("AOI3"))
|
||||||
fprintf(f, "GATE AOI3 %d Y=!((A*B)+C); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_AOI3_"));
|
fprintf(f, "GATE AOI3 %d Y=!((A*B)+C); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_AOI3_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("OAI3"))
|
if (enabled_gates.empty() || enabled_gates.count("OAI3"))
|
||||||
fprintf(f, "GATE OAI3 %d Y=!((A+B)*C); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_OAI3_"));
|
fprintf(f, "GATE OAI3 %d Y=!((A+B)*C); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_OAI3_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("AOI4"))
|
if (enabled_gates.empty() || enabled_gates.count("AOI4"))
|
||||||
fprintf(f, "GATE AOI4 %d Y=!((A*B)+(C*D)); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_AOI4_"));
|
fprintf(f, "GATE AOI4 %d Y=!((A*B)+(C*D)); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_AOI4_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("OAI4"))
|
if (enabled_gates.empty() || enabled_gates.count("OAI4"))
|
||||||
fprintf(f, "GATE OAI4 %d Y=!((A+B)*(C+D)); PIN * INV 1 999 1 0 1 0\n", get_cell_cost("$_OAI4_"));
|
fprintf(f, "GATE OAI4 %d Y=!((A+B)*(C+D)); PIN * INV 1 999 1 0 1 0\n", cell_cost.at("$_OAI4_"));
|
||||||
if (enabled_gates.empty() || enabled_gates.count("MUX"))
|
if (enabled_gates.empty() || enabled_gates.count("MUX"))
|
||||||
fprintf(f, "GATE MUX %d Y=(A*B)+(S*B)+(!S*A); PIN * UNKNOWN 1 999 1 0 1 0\n", get_cell_cost("$_MUX_"));
|
fprintf(f, "GATE MUX %d Y=(A*B)+(S*B)+(!S*A); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_MUX_"));
|
||||||
|
if (enabled_gates.empty() || enabled_gates.count("NMUX"))
|
||||||
|
fprintf(f, "GATE NMUX %d Y=!((A*B)+(S*B)+(!S*A)); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at("$_NMUX_"));
|
||||||
if (map_mux4)
|
if (map_mux4)
|
||||||
fprintf(f, "GATE MUX4 %d Y=(!S*!T*A)+(S*!T*B)+(!S*T*C)+(S*T*D); PIN * UNKNOWN 1 999 1 0 1 0\n", 2*get_cell_cost("$_MUX_"));
|
fprintf(f, "GATE MUX4 %d Y=(!S*!T*A)+(S*!T*B)+(!S*T*C)+(S*T*D); PIN * UNKNOWN 1 999 1 0 1 0\n", 2*cell_cost.at("$_MUX_"));
|
||||||
if (map_mux8)
|
if (map_mux8)
|
||||||
fprintf(f, "GATE MUX8 %d Y=(!S*!T*!U*A)+(S*!T*!U*B)+(!S*T*!U*C)+(S*T*!U*D)+(!S*!T*U*E)+(S*!T*U*F)+(!S*T*U*G)+(S*T*U*H); PIN * UNKNOWN 1 999 1 0 1 0\n", 4*get_cell_cost("$_MUX_"));
|
fprintf(f, "GATE MUX8 %d Y=(!S*!T*!U*A)+(S*!T*!U*B)+(!S*T*!U*C)+(S*T*!U*D)+(!S*!T*U*E)+(S*!T*U*F)+(!S*T*U*G)+(S*T*U*H); PIN * UNKNOWN 1 999 1 0 1 0\n", 4*cell_cost.at("$_MUX_"));
|
||||||
if (map_mux16)
|
if (map_mux16)
|
||||||
fprintf(f, "GATE MUX16 %d Y=(!S*!T*!U*!V*A)+(S*!T*!U*!V*B)+(!S*T*!U*!V*C)+(S*T*!U*!V*D)+(!S*!T*U*!V*E)+(S*!T*U*!V*F)+(!S*T*U*!V*G)+(S*T*U*!V*H)+(!S*!T*!U*V*I)+(S*!T*!U*V*J)+(!S*T*!U*V*K)+(S*T*!U*V*L)+(!S*!T*U*V*M)+(S*!T*U*V*N)+(!S*T*U*V*O)+(S*T*U*V*P); PIN * UNKNOWN 1 999 1 0 1 0\n", 8*get_cell_cost("$_MUX_"));
|
fprintf(f, "GATE MUX16 %d Y=(!S*!T*!U*!V*A)+(S*!T*!U*!V*B)+(!S*T*!U*!V*C)+(S*T*!U*!V*D)+(!S*!T*U*!V*E)+(S*!T*U*!V*F)+(!S*T*U*!V*G)+(S*T*U*!V*H)+(!S*!T*!U*V*I)+(S*!T*!U*V*J)+(!S*T*!U*V*K)+(S*T*!U*V*L)+(!S*!T*U*V*M)+(S*!T*U*V*N)+(!S*T*U*V*O)+(S*T*U*V*P); PIN * UNKNOWN 1 999 1 0 1 0\n", 8*cell_cost.at("$_MUX_"));
|
||||||
fclose(f);
|
fclose(f);
|
||||||
|
|
||||||
if (!lut_costs.empty()) {
|
if (!lut_costs.empty()) {
|
||||||
|
|
@ -1066,8 +1075,8 @@ void abc_module(RTLIL::Design *design, RTLIL::Module *current_module, std::strin
|
||||||
design->select(module, cell);
|
design->select(module, cell);
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
if (c->type == "\\MUX") {
|
if (c->type == "\\MUX" || c->type == "\\NMUX") {
|
||||||
RTLIL::Cell *cell = module->addCell(remap_name(c->name), "$_MUX_");
|
RTLIL::Cell *cell = module->addCell(remap_name(c->name), "$_" + c->type.substr(1) + "_");
|
||||||
if (markgroups) cell->attributes["\\abcgroup"] = map_autoidx;
|
if (markgroups) cell->attributes["\\abcgroup"] = map_autoidx;
|
||||||
cell->setPort("\\A", RTLIL::SigSpec(module->wires_[remap_name(c->getPort("\\A").as_wire()->name)]));
|
cell->setPort("\\A", RTLIL::SigSpec(module->wires_[remap_name(c->getPort("\\A").as_wire()->name)]));
|
||||||
cell->setPort("\\B", RTLIL::SigSpec(module->wires_[remap_name(c->getPort("\\B").as_wire()->name)]));
|
cell->setPort("\\B", RTLIL::SigSpec(module->wires_[remap_name(c->getPort("\\B").as_wire()->name)]));
|
||||||
|
|
@ -1407,11 +1416,12 @@ struct AbcPass : public Pass {
|
||||||
log("\n");
|
log("\n");
|
||||||
log(" The following aliases can be used to reference common sets of gate types:\n");
|
log(" The following aliases can be used to reference common sets of gate types:\n");
|
||||||
log(" simple: AND OR XOR MUX\n");
|
log(" simple: AND OR XOR MUX\n");
|
||||||
log(" cmos2: NAND NOR\n");
|
log(" cmos2: NAND NOR\n");
|
||||||
log(" cmos3: NAND NOR AOI3 OAI3\n");
|
log(" cmos3: NAND NOR AOI3 OAI3\n");
|
||||||
log(" cmos4: NAND NOR AOI3 OAI3 AOI4 OAI4\n");
|
log(" cmos4: NAND NOR AOI3 OAI3 AOI4 OAI4\n");
|
||||||
log(" gates: AND NAND OR NOR XOR XNOR ANDNOT ORNOT\n");
|
log(" cmos: NAND NOR AOI3 OAI3 AOI4 OAI4 NMUX MUX XOR XNOR\n");
|
||||||
log(" aig: AND NAND OR NOR ANDNOT ORNOT\n");
|
log(" gates: AND NAND OR NOR XOR XNOR ANDNOT ORNOT\n");
|
||||||
|
log(" aig: AND NAND OR NOR ANDNOT ORNOT\n");
|
||||||
log("\n");
|
log("\n");
|
||||||
log(" Prefix a gate type with a '-' to remove it from the list. For example\n");
|
log(" Prefix a gate type with a '-' to remove it from the list. For example\n");
|
||||||
log(" the arguments 'AND,OR,XOR' and 'simple,-MUX' are equivalent.\n");
|
log(" the arguments 'AND,OR,XOR' and 'simple,-MUX' are equivalent.\n");
|
||||||
|
|
@ -1489,6 +1499,7 @@ struct AbcPass : public Pass {
|
||||||
map_mux8 = false;
|
map_mux8 = false;
|
||||||
map_mux16 = false;
|
map_mux16 = false;
|
||||||
enabled_gates.clear();
|
enabled_gates.clear();
|
||||||
|
cmos_cost = false;
|
||||||
|
|
||||||
#ifdef _WIN32
|
#ifdef _WIN32
|
||||||
#ifndef ABCEXTERNAL
|
#ifndef ABCEXTERNAL
|
||||||
|
|
@ -1629,11 +1640,15 @@ struct AbcPass : public Pass {
|
||||||
goto ok_alias;
|
goto ok_alias;
|
||||||
}
|
}
|
||||||
if (g == "cmos2") {
|
if (g == "cmos2") {
|
||||||
|
if (!remove_gates)
|
||||||
|
cmos_cost = true;
|
||||||
gate_list.push_back("NAND");
|
gate_list.push_back("NAND");
|
||||||
gate_list.push_back("NOR");
|
gate_list.push_back("NOR");
|
||||||
goto ok_alias;
|
goto ok_alias;
|
||||||
}
|
}
|
||||||
if (g == "cmos3") {
|
if (g == "cmos3") {
|
||||||
|
if (!remove_gates)
|
||||||
|
cmos_cost = true;
|
||||||
gate_list.push_back("NAND");
|
gate_list.push_back("NAND");
|
||||||
gate_list.push_back("NOR");
|
gate_list.push_back("NOR");
|
||||||
gate_list.push_back("AOI3");
|
gate_list.push_back("AOI3");
|
||||||
|
|
@ -1641,6 +1656,8 @@ struct AbcPass : public Pass {
|
||||||
goto ok_alias;
|
goto ok_alias;
|
||||||
}
|
}
|
||||||
if (g == "cmos4") {
|
if (g == "cmos4") {
|
||||||
|
if (!remove_gates)
|
||||||
|
cmos_cost = true;
|
||||||
gate_list.push_back("NAND");
|
gate_list.push_back("NAND");
|
||||||
gate_list.push_back("NOR");
|
gate_list.push_back("NOR");
|
||||||
gate_list.push_back("AOI3");
|
gate_list.push_back("AOI3");
|
||||||
|
|
@ -1649,6 +1666,21 @@ struct AbcPass : public Pass {
|
||||||
gate_list.push_back("OAI4");
|
gate_list.push_back("OAI4");
|
||||||
goto ok_alias;
|
goto ok_alias;
|
||||||
}
|
}
|
||||||
|
if (g == "cmos") {
|
||||||
|
if (!remove_gates)
|
||||||
|
cmos_cost = true;
|
||||||
|
gate_list.push_back("NAND");
|
||||||
|
gate_list.push_back("NOR");
|
||||||
|
gate_list.push_back("AOI3");
|
||||||
|
gate_list.push_back("OAI3");
|
||||||
|
gate_list.push_back("AOI4");
|
||||||
|
gate_list.push_back("OAI4");
|
||||||
|
gate_list.push_back("NMUX");
|
||||||
|
gate_list.push_back("MUX");
|
||||||
|
gate_list.push_back("XOR");
|
||||||
|
gate_list.push_back("XNOR");
|
||||||
|
goto ok_alias;
|
||||||
|
}
|
||||||
if (g == "gates") {
|
if (g == "gates") {
|
||||||
gate_list.push_back("AND");
|
gate_list.push_back("AND");
|
||||||
gate_list.push_back("NAND");
|
gate_list.push_back("NAND");
|
||||||
|
|
|
||||||
|
|
@ -82,7 +82,7 @@ void handle_loops(RTLIL::Design *design)
|
||||||
{
|
{
|
||||||
Pass::call(design, "scc -set_attr abc_scc_id {}");
|
Pass::call(design, "scc -set_attr abc_scc_id {}");
|
||||||
|
|
||||||
dict<IdString, vector<IdString>> abc_scc_break;
|
dict<IdString, vector<IdString>> abc_scc_break;
|
||||||
|
|
||||||
// For every unique SCC found, (arbitrarily) find the first
|
// For every unique SCC found, (arbitrarily) find the first
|
||||||
// cell in the component, and select (and mark) all its output
|
// cell in the component, and select (and mark) all its output
|
||||||
|
|
@ -290,7 +290,7 @@ void abc9_module(RTLIL::Design *design, RTLIL::Module *current_module, std::stri
|
||||||
bool cleanup, vector<int> lut_costs, bool dff_mode, std::string clk_str,
|
bool cleanup, vector<int> lut_costs, bool dff_mode, std::string clk_str,
|
||||||
bool /*keepff*/, std::string delay_target, std::string /*lutin_shared*/, bool fast_mode,
|
bool /*keepff*/, std::string delay_target, std::string /*lutin_shared*/, bool fast_mode,
|
||||||
bool show_tempdir, std::string box_file, std::string lut_file,
|
bool show_tempdir, std::string box_file, std::string lut_file,
|
||||||
std::string wire_delay)
|
std::string wire_delay, const dict<int,IdString> &box_lookup)
|
||||||
{
|
{
|
||||||
module = current_module;
|
module = current_module;
|
||||||
map_autoidx = autoidx++;
|
map_autoidx = autoidx++;
|
||||||
|
|
@ -429,10 +429,10 @@ void abc9_module(RTLIL::Design *design, RTLIL::Module *current_module, std::stri
|
||||||
RTLIL::Selection& sel = design->selection_stack.back();
|
RTLIL::Selection& sel = design->selection_stack.back();
|
||||||
sel.select(module);
|
sel.select(module);
|
||||||
|
|
||||||
Pass::call(design, "aigmap");
|
|
||||||
|
|
||||||
handle_loops(design);
|
handle_loops(design);
|
||||||
|
|
||||||
|
Pass::call(design, "aigmap");
|
||||||
|
|
||||||
//log("Extracted %d gates and %d wires to a netlist network with %d inputs and %d outputs.\n",
|
//log("Extracted %d gates and %d wires to a netlist network with %d inputs and %d outputs.\n",
|
||||||
// count_gates, GetSize(signal_list), count_input, count_output);
|
// count_gates, GetSize(signal_list), count_input, count_output);
|
||||||
|
|
||||||
|
|
@ -476,7 +476,6 @@ void abc9_module(RTLIL::Design *design, RTLIL::Module *current_module, std::stri
|
||||||
}
|
}
|
||||||
module->fixup_ports();
|
module->fixup_ports();
|
||||||
|
|
||||||
|
|
||||||
log_header(design, "Executing ABC9.\n");
|
log_header(design, "Executing ABC9.\n");
|
||||||
|
|
||||||
if (!lut_costs.empty()) {
|
if (!lut_costs.empty()) {
|
||||||
|
|
@ -520,8 +519,9 @@ void abc9_module(RTLIL::Design *design, RTLIL::Module *current_module, std::stri
|
||||||
|
|
||||||
buffer = stringf("%s/%s", tempdir_name.c_str(), "input.sym");
|
buffer = stringf("%s/%s", tempdir_name.c_str(), "input.sym");
|
||||||
log_assert(!design->module("$__abc9__"));
|
log_assert(!design->module("$__abc9__"));
|
||||||
|
|
||||||
AigerReader reader(design, ifs, "$__abc9__", "" /* clk_name */, buffer.c_str() /* map_filename */, true /* wideports */);
|
AigerReader reader(design, ifs, "$__abc9__", "" /* clk_name */, buffer.c_str() /* map_filename */, true /* wideports */);
|
||||||
reader.parse_xaiger();
|
reader.parse_xaiger(box_lookup);
|
||||||
ifs.close();
|
ifs.close();
|
||||||
|
|
||||||
#if 0
|
#if 0
|
||||||
|
|
@ -646,6 +646,7 @@ void abc9_module(RTLIL::Design *design, RTLIL::Module *current_module, std::stri
|
||||||
}
|
}
|
||||||
else {
|
else {
|
||||||
existing_cell = module->cell(c->name);
|
existing_cell = module->cell(c->name);
|
||||||
|
log_assert(existing_cell);
|
||||||
cell = module->addCell(remap_name(c->name), c->type);
|
cell = module->addCell(remap_name(c->name), c->type);
|
||||||
module->swap_names(cell, existing_cell);
|
module->swap_names(cell, existing_cell);
|
||||||
}
|
}
|
||||||
|
|
@ -1081,6 +1082,21 @@ struct Abc9Pass : public Pass {
|
||||||
}
|
}
|
||||||
extra_args(args, argidx, design);
|
extra_args(args, argidx, design);
|
||||||
|
|
||||||
|
dict<int,IdString> box_lookup;
|
||||||
|
for (auto m : design->modules()) {
|
||||||
|
auto it = m->attributes.find("\\abc_box_id");
|
||||||
|
if (it == m->attributes.end())
|
||||||
|
continue;
|
||||||
|
if (m->name.begins_with("$paramod"))
|
||||||
|
continue;
|
||||||
|
auto id = it->second.as_int();
|
||||||
|
auto r = box_lookup.insert(std::make_pair(id, m->name));
|
||||||
|
if (!r.second)
|
||||||
|
log_error("Module '%s' has the same abc_box_id = %d value as '%s'.\n",
|
||||||
|
log_id(m), id, log_id(r.first->second));
|
||||||
|
log_assert(r.second);
|
||||||
|
}
|
||||||
|
|
||||||
for (auto mod : design->selected_modules())
|
for (auto mod : design->selected_modules())
|
||||||
{
|
{
|
||||||
if (mod->attributes.count("\\abc_box_id"))
|
if (mod->attributes.count("\\abc_box_id"))
|
||||||
|
|
@ -1096,7 +1112,7 @@ struct Abc9Pass : public Pass {
|
||||||
if (!dff_mode || !clk_str.empty()) {
|
if (!dff_mode || !clk_str.empty()) {
|
||||||
abc9_module(design, mod, script_file, exe_file, cleanup, lut_costs, dff_mode, clk_str, keepff,
|
abc9_module(design, mod, script_file, exe_file, cleanup, lut_costs, dff_mode, clk_str, keepff,
|
||||||
delay_target, lutin_shared, fast_mode, show_tempdir,
|
delay_target, lutin_shared, fast_mode, show_tempdir,
|
||||||
box_file, lut_file, wire_delay);
|
box_file, lut_file, wire_delay, box_lookup);
|
||||||
continue;
|
continue;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
|
@ -1242,15 +1258,16 @@ struct Abc9Pass : public Pass {
|
||||||
en_sig = assign_map(std::get<3>(it.first));
|
en_sig = assign_map(std::get<3>(it.first));
|
||||||
abc9_module(design, mod, script_file, exe_file, cleanup, lut_costs, !clk_sig.empty(), "$",
|
abc9_module(design, mod, script_file, exe_file, cleanup, lut_costs, !clk_sig.empty(), "$",
|
||||||
keepff, delay_target, lutin_shared, fast_mode, show_tempdir,
|
keepff, delay_target, lutin_shared, fast_mode, show_tempdir,
|
||||||
box_file, lut_file, wire_delay);
|
box_file, lut_file, wire_delay, box_lookup);
|
||||||
assign_map.set(mod);
|
assign_map.set(mod);
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
Pass::call(design, "clean");
|
|
||||||
|
|
||||||
assign_map.clear();
|
assign_map.clear();
|
||||||
|
|
||||||
|
// The "clean" pass also contains a design->check() call
|
||||||
|
Pass::call(design, "clean");
|
||||||
|
|
||||||
log_pop();
|
log_pop();
|
||||||
}
|
}
|
||||||
} Abc9Pass;
|
} Abc9Pass;
|
||||||
|
|
|
||||||
|
|
@ -86,7 +86,7 @@ struct ExtractFaWorker
|
||||||
for (auto cell : module->selected_cells())
|
for (auto cell : module->selected_cells())
|
||||||
{
|
{
|
||||||
if (cell->type.in( "$_BUF_", "$_NOT_", "$_AND_", "$_NAND_", "$_OR_", "$_NOR_",
|
if (cell->type.in( "$_BUF_", "$_NOT_", "$_AND_", "$_NAND_", "$_OR_", "$_NOR_",
|
||||||
"$_XOR_", "$_XNOR_", "$_ANDNOT_", "$_ORNOT_", "$_MUX_",
|
"$_XOR_", "$_XNOR_", "$_ANDNOT_", "$_ORNOT_", "$_MUX_", "$_NMUX_",
|
||||||
"$_AOI3_", "$_OAI3_", "$_AOI4_", "$_OAI4_"))
|
"$_AOI3_", "$_OAI3_", "$_AOI4_", "$_OAI4_"))
|
||||||
{
|
{
|
||||||
SigBit y = sigmap(SigBit(cell->getPort("\\Y")));
|
SigBit y = sigmap(SigBit(cell->getPort("\\Y")));
|
||||||
|
|
|
||||||
|
|
@ -42,10 +42,9 @@ module _80_anlogic_alu (A, B, CI, BI, X, Y, CO);
|
||||||
wire [Y_WIDTH-1:0] AA = A_buf;
|
wire [Y_WIDTH-1:0] AA = A_buf;
|
||||||
wire [Y_WIDTH-1:0] BB = BI ? ~B_buf : B_buf;
|
wire [Y_WIDTH-1:0] BB = BI ? ~B_buf : B_buf;
|
||||||
wire [Y_WIDTH+1:0] COx;
|
wire [Y_WIDTH+1:0] COx;
|
||||||
wire [Y_WIDTH+1:0] C = {COx, CI};
|
wire [Y_WIDTH+2:0] C = {COx, CI};
|
||||||
|
|
||||||
wire dummy;
|
wire dummy;
|
||||||
(* keep *)
|
|
||||||
AL_MAP_ADDER #(
|
AL_MAP_ADDER #(
|
||||||
.ALUTYPE("ADD_CARRY"))
|
.ALUTYPE("ADD_CARRY"))
|
||||||
adder_cin (
|
adder_cin (
|
||||||
|
|
@ -55,19 +54,6 @@ module _80_anlogic_alu (A, B, CI, BI, X, Y, CO);
|
||||||
|
|
||||||
genvar i;
|
genvar i;
|
||||||
generate for (i = 0; i < Y_WIDTH; i = i + 1) begin: slice
|
generate for (i = 0; i < Y_WIDTH; i = i + 1) begin: slice
|
||||||
if(i==Y_WIDTH-1) begin
|
|
||||||
(* keep *)
|
|
||||||
AL_MAP_ADDER #(
|
|
||||||
.ALUTYPE("ADD"))
|
|
||||||
adder_cout (
|
|
||||||
.c(C[Y_WIDTH]),
|
|
||||||
.o(COx[Y_WIDTH])
|
|
||||||
);
|
|
||||||
assign CO = COx[Y_WIDTH];
|
|
||||||
end
|
|
||||||
else
|
|
||||||
begin
|
|
||||||
(* keep *)
|
|
||||||
AL_MAP_ADDER #(
|
AL_MAP_ADDER #(
|
||||||
.ALUTYPE("ADD")
|
.ALUTYPE("ADD")
|
||||||
) adder_i (
|
) adder_i (
|
||||||
|
|
@ -76,9 +62,15 @@ module _80_anlogic_alu (A, B, CI, BI, X, Y, CO);
|
||||||
.c(C[i+1]),
|
.c(C[i+1]),
|
||||||
.o({COx[i+1],Y[i]})
|
.o({COx[i+1],Y[i]})
|
||||||
);
|
);
|
||||||
end
|
|
||||||
end: slice
|
end: slice
|
||||||
endgenerate
|
endgenerate
|
||||||
/* End implementation */
|
/* End implementation */
|
||||||
|
AL_MAP_ADDER #(
|
||||||
|
.ALUTYPE("ADD"))
|
||||||
|
adder_cout (
|
||||||
|
.c(C[Y_WIDTH+1]),
|
||||||
|
.o(COx[Y_WIDTH+1])
|
||||||
|
);
|
||||||
|
assign CO = COx[Y_WIDTH+1];
|
||||||
assign X = AA ^ BB;
|
assign X = AA ^ BB;
|
||||||
endmodule
|
endmodule
|
||||||
|
|
@ -228,6 +228,25 @@ output Y;
|
||||||
assign Y = S ? B : A;
|
assign Y = S ? B : A;
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
||||||
|
//-
|
||||||
|
//- $_NMUX_ (A, B, S, Y)
|
||||||
|
//-
|
||||||
|
//- A 2-input inverting MUX gate.
|
||||||
|
//-
|
||||||
|
//- Truth table: A B S | Y
|
||||||
|
//- -------+---
|
||||||
|
//- 0 - 0 | 1
|
||||||
|
//- 1 - 0 | 0
|
||||||
|
//- - 0 1 | 1
|
||||||
|
//- - 1 1 | 0
|
||||||
|
//-
|
||||||
|
module \$_NMUX_ (A, B, S, Y);
|
||||||
|
input A, B, S;
|
||||||
|
output Y;
|
||||||
|
assign Y = S ? !B : !A;
|
||||||
|
endmodule
|
||||||
|
|
||||||
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
||||||
//-
|
//-
|
||||||
//- $_MUX4_ (A, B, C, D, S, T, Y)
|
//- $_MUX4_ (A, B, C, D, S, T, Y)
|
||||||
|
|
|
||||||
|
|
@ -333,6 +333,31 @@ module TRELLIS_SLICE(
|
||||||
parameter [127:0] CCU2_INJECT1_0 = "NO";
|
parameter [127:0] CCU2_INJECT1_0 = "NO";
|
||||||
parameter [127:0] CCU2_INJECT1_1 = "NO";
|
parameter [127:0] CCU2_INJECT1_1 = "NO";
|
||||||
parameter WREMUX = "WRE";
|
parameter WREMUX = "WRE";
|
||||||
|
parameter WCKMUX = "WCK";
|
||||||
|
|
||||||
|
parameter A0MUX = "A0";
|
||||||
|
parameter A1MUX = "A1";
|
||||||
|
parameter B0MUX = "B0";
|
||||||
|
parameter B1MUX = "B1";
|
||||||
|
parameter C0MUX = "C0";
|
||||||
|
parameter C1MUX = "C1";
|
||||||
|
parameter D0MUX = "D0";
|
||||||
|
parameter D1MUX = "D1";
|
||||||
|
|
||||||
|
wire A0m, B0m, C0m, D0m;
|
||||||
|
wire A1m, B1m, C1m, D1m;
|
||||||
|
|
||||||
|
generate
|
||||||
|
if (A0MUX == "1") assign A0m = 1'b1; else assign A0m = A0;
|
||||||
|
if (B0MUX == "1") assign B0m = 1'b1; else assign B0m = B0;
|
||||||
|
if (C0MUX == "1") assign C0m = 1'b1; else assign C0m = C0;
|
||||||
|
if (D0MUX == "1") assign D0m = 1'b1; else assign D0m = D0;
|
||||||
|
if (A1MUX == "1") assign A1m = 1'b1; else assign A1m = A1;
|
||||||
|
if (B1MUX == "1") assign B1m = 1'b1; else assign B1m = B1;
|
||||||
|
if (C1MUX == "1") assign C1m = 1'b1; else assign C1m = C1;
|
||||||
|
if (D1MUX == "1") assign D1m = 1'b1; else assign D1m = D1;
|
||||||
|
|
||||||
|
endgenerate
|
||||||
|
|
||||||
function [15:0] permute_initval;
|
function [15:0] permute_initval;
|
||||||
input [15:0] initval;
|
input [15:0] initval;
|
||||||
|
|
@ -350,13 +375,13 @@ module TRELLIS_SLICE(
|
||||||
LUT4 #(
|
LUT4 #(
|
||||||
.INIT(LUT0_INITVAL)
|
.INIT(LUT0_INITVAL)
|
||||||
) lut4_0 (
|
) lut4_0 (
|
||||||
.A(A0), .B(B0), .C(C0), .D(D0),
|
.A(A0m), .B(B0m), .C(C0m), .D(D0m),
|
||||||
.Z(F0)
|
.Z(F0)
|
||||||
);
|
);
|
||||||
LUT4 #(
|
LUT4 #(
|
||||||
.INIT(LUT1_INITVAL)
|
.INIT(LUT1_INITVAL)
|
||||||
) lut4_1 (
|
) lut4_1 (
|
||||||
.A(A1), .B(B1), .C(C1), .D(D1),
|
.A(A1m), .B(B1m), .C(C1m), .D(D1m),
|
||||||
.Z(F1)
|
.Z(F1)
|
||||||
);
|
);
|
||||||
// LUT expansion muxes
|
// LUT expansion muxes
|
||||||
|
|
@ -370,20 +395,20 @@ module TRELLIS_SLICE(
|
||||||
.INJECT1_1(CCU2_INJECT1_1)
|
.INJECT1_1(CCU2_INJECT1_1)
|
||||||
) ccu2c_i (
|
) ccu2c_i (
|
||||||
.CIN(FCI),
|
.CIN(FCI),
|
||||||
.A0(A0), .B0(B0), .C0(C0), .D0(D0),
|
.A0(A0m), .B0(B0m), .C0(C0m), .D0(D0m),
|
||||||
.A1(A1), .B1(B1), .C1(C1), .D1(D1),
|
.A1(A1m), .B1(B1m), .C1(C1m), .D1(D1m),
|
||||||
.S0(F0), .S1(F1),
|
.S0(F0), .S1(F1),
|
||||||
.COUT(FCO)
|
.COUT(FCO)
|
||||||
);
|
);
|
||||||
end else if (MODE == "RAMW") begin
|
end else if (MODE == "RAMW") begin
|
||||||
assign WDO0 = C1;
|
assign WDO0 = C1m;
|
||||||
assign WDO1 = A1;
|
assign WDO1 = A1m;
|
||||||
assign WDO2 = D1;
|
assign WDO2 = D1m;
|
||||||
assign WDO3 = B1;
|
assign WDO3 = B1m;
|
||||||
assign WADO0 = D0;
|
assign WADO0 = D0m;
|
||||||
assign WADO1 = B0;
|
assign WADO1 = B0m;
|
||||||
assign WADO2 = C0;
|
assign WADO2 = C0m;
|
||||||
assign WADO3 = A0;
|
assign WADO3 = A0m;
|
||||||
end else if (MODE == "DPRAM") begin
|
end else if (MODE == "DPRAM") begin
|
||||||
TRELLIS_RAM16X2 #(
|
TRELLIS_RAM16X2 #(
|
||||||
.INITVAL_0(permute_initval(LUT0_INITVAL)),
|
.INITVAL_0(permute_initval(LUT0_INITVAL)),
|
||||||
|
|
@ -393,17 +418,19 @@ module TRELLIS_SLICE(
|
||||||
.DI0(WD0), .DI1(WD1),
|
.DI0(WD0), .DI1(WD1),
|
||||||
.WAD0(WAD0), .WAD1(WAD1), .WAD2(WAD2), .WAD3(WAD3),
|
.WAD0(WAD0), .WAD1(WAD1), .WAD2(WAD2), .WAD3(WAD3),
|
||||||
.WRE(WRE), .WCK(WCK),
|
.WRE(WRE), .WCK(WCK),
|
||||||
.RAD0(D0), .RAD1(B0), .RAD2(C0), .RAD3(A0),
|
.RAD0(D0m), .RAD1(B0m), .RAD2(C0m), .RAD3(A0m),
|
||||||
.DO0(F0), .DO1(F1)
|
.DO0(F0), .DO1(F1)
|
||||||
);
|
);
|
||||||
// TODO: confirm RAD and INITVAL ordering
|
// TODO: confirm RAD and INITVAL ordering
|
||||||
// DPRAM mode contract?
|
// DPRAM mode contract?
|
||||||
|
`ifdef FORMAL
|
||||||
always @(*) begin
|
always @(*) begin
|
||||||
assert(A0==A1);
|
assert(A0m==A1m);
|
||||||
assert(B0==B1);
|
assert(B0m==B1m);
|
||||||
assert(C0==C1);
|
assert(C0m==C1m);
|
||||||
assert(D0==D1);
|
assert(D0m==D1m);
|
||||||
end
|
end
|
||||||
|
`endif
|
||||||
end else begin
|
end else begin
|
||||||
ERROR_UNKNOWN_SLICE_MODE error();
|
ERROR_UNKNOWN_SLICE_MODE error();
|
||||||
end
|
end
|
||||||
|
|
@ -455,90 +482,206 @@ module DP16KD(
|
||||||
input CSB2, CSB1, CSB0,
|
input CSB2, CSB1, CSB0,
|
||||||
output DOB17, DOB16, DOB15, DOB14, DOB13, DOB12, DOB11, DOB10, DOB9, DOB8, DOB7, DOB6, DOB5, DOB4, DOB3, DOB2, DOB1, DOB0
|
output DOB17, DOB16, DOB15, DOB14, DOB13, DOB12, DOB11, DOB10, DOB9, DOB8, DOB7, DOB6, DOB5, DOB4, DOB3, DOB2, DOB1, DOB0
|
||||||
);
|
);
|
||||||
parameter DATA_WIDTH_A = 18;
|
parameter DATA_WIDTH_A = 18;
|
||||||
parameter DATA_WIDTH_B = 18;
|
parameter DATA_WIDTH_B = 18;
|
||||||
|
|
||||||
parameter REGMODE_A = "NOREG";
|
parameter REGMODE_A = "NOREG";
|
||||||
parameter REGMODE_B = "NOREG";
|
parameter REGMODE_B = "NOREG";
|
||||||
|
|
||||||
parameter RESETMODE = "SYNC";
|
parameter RESETMODE = "SYNC";
|
||||||
parameter ASYNC_RESET_RELEASE = "SYNC";
|
parameter ASYNC_RESET_RELEASE = "SYNC";
|
||||||
|
|
||||||
parameter CSDECODE_A = "0b000";
|
parameter CSDECODE_A = "0b000";
|
||||||
parameter CSDECODE_B = "0b000";
|
parameter CSDECODE_B = "0b000";
|
||||||
|
|
||||||
parameter WRITEMODE_A = "NORMAL";
|
parameter WRITEMODE_A = "NORMAL";
|
||||||
parameter WRITEMODE_B = "NORMAL";
|
parameter WRITEMODE_B = "NORMAL";
|
||||||
|
|
||||||
parameter CLKAMUX = "CLKA";
|
parameter DIA17MUX = "DIA17";
|
||||||
parameter CLKBMUX = "CLKB";
|
parameter DIA16MUX = "DIA16";
|
||||||
|
parameter DIA15MUX = "DIA15";
|
||||||
|
parameter DIA14MUX = "DIA14";
|
||||||
|
parameter DIA13MUX = "DIA13";
|
||||||
|
parameter DIA12MUX = "DIA12";
|
||||||
|
parameter DIA11MUX = "DIA11";
|
||||||
|
parameter DIA10MUX = "DIA10";
|
||||||
|
parameter DIA9MUX = "DIA9";
|
||||||
|
parameter DIA8MUX = "DIA8";
|
||||||
|
parameter DIA7MUX = "DIA7";
|
||||||
|
parameter DIA6MUX = "DIA6";
|
||||||
|
parameter DIA5MUX = "DIA5";
|
||||||
|
parameter DIA4MUX = "DIA4";
|
||||||
|
parameter DIA3MUX = "DIA3";
|
||||||
|
parameter DIA2MUX = "DIA2";
|
||||||
|
parameter DIA1MUX = "DIA1";
|
||||||
|
parameter DIA0MUX = "DIA0";
|
||||||
|
parameter ADA13MUX = "ADA13";
|
||||||
|
parameter ADA12MUX = "ADA12";
|
||||||
|
parameter ADA11MUX = "ADA11";
|
||||||
|
parameter ADA10MUX = "ADA10";
|
||||||
|
parameter ADA9MUX = "ADA9";
|
||||||
|
parameter ADA8MUX = "ADA8";
|
||||||
|
parameter ADA7MUX = "ADA7";
|
||||||
|
parameter ADA6MUX = "ADA6";
|
||||||
|
parameter ADA5MUX = "ADA5";
|
||||||
|
parameter ADA4MUX = "ADA4";
|
||||||
|
parameter ADA3MUX = "ADA3";
|
||||||
|
parameter ADA2MUX = "ADA2";
|
||||||
|
parameter ADA1MUX = "ADA1";
|
||||||
|
parameter ADA0MUX = "ADA0";
|
||||||
|
parameter CEAMUX = "CEA";
|
||||||
|
parameter OCEAMUX = "OCEA";
|
||||||
|
parameter CLKAMUX = "CLKA";
|
||||||
|
parameter WEAMUX = "WEA";
|
||||||
|
parameter RSTAMUX = "RSTA";
|
||||||
|
parameter CSA2MUX = "CSA2";
|
||||||
|
parameter CSA1MUX = "CSA1";
|
||||||
|
parameter CSA0MUX = "CSA0";
|
||||||
|
parameter DOA17MUX = "DOA17";
|
||||||
|
parameter DOA16MUX = "DOA16";
|
||||||
|
parameter DOA15MUX = "DOA15";
|
||||||
|
parameter DOA14MUX = "DOA14";
|
||||||
|
parameter DOA13MUX = "DOA13";
|
||||||
|
parameter DOA12MUX = "DOA12";
|
||||||
|
parameter DOA11MUX = "DOA11";
|
||||||
|
parameter DOA10MUX = "DOA10";
|
||||||
|
parameter DOA9MUX = "DOA9";
|
||||||
|
parameter DOA8MUX = "DOA8";
|
||||||
|
parameter DOA7MUX = "DOA7";
|
||||||
|
parameter DOA6MUX = "DOA6";
|
||||||
|
parameter DOA5MUX = "DOA5";
|
||||||
|
parameter DOA4MUX = "DOA4";
|
||||||
|
parameter DOA3MUX = "DOA3";
|
||||||
|
parameter DOA2MUX = "DOA2";
|
||||||
|
parameter DOA1MUX = "DOA1";
|
||||||
|
parameter DOA0MUX = "DOA0";
|
||||||
|
parameter DIB17MUX = "DIB17";
|
||||||
|
parameter DIB16MUX = "DIB16";
|
||||||
|
parameter DIB15MUX = "DIB15";
|
||||||
|
parameter DIB14MUX = "DIB14";
|
||||||
|
parameter DIB13MUX = "DIB13";
|
||||||
|
parameter DIB12MUX = "DIB12";
|
||||||
|
parameter DIB11MUX = "DIB11";
|
||||||
|
parameter DIB10MUX = "DIB10";
|
||||||
|
parameter DIB9MUX = "DIB9";
|
||||||
|
parameter DIB8MUX = "DIB8";
|
||||||
|
parameter DIB7MUX = "DIB7";
|
||||||
|
parameter DIB6MUX = "DIB6";
|
||||||
|
parameter DIB5MUX = "DIB5";
|
||||||
|
parameter DIB4MUX = "DIB4";
|
||||||
|
parameter DIB3MUX = "DIB3";
|
||||||
|
parameter DIB2MUX = "DIB2";
|
||||||
|
parameter DIB1MUX = "DIB1";
|
||||||
|
parameter DIB0MUX = "DIB0";
|
||||||
|
parameter ADB13MUX = "ADB13";
|
||||||
|
parameter ADB12MUX = "ADB12";
|
||||||
|
parameter ADB11MUX = "ADB11";
|
||||||
|
parameter ADB10MUX = "ADB10";
|
||||||
|
parameter ADB9MUX = "ADB9";
|
||||||
|
parameter ADB8MUX = "ADB8";
|
||||||
|
parameter ADB7MUX = "ADB7";
|
||||||
|
parameter ADB6MUX = "ADB6";
|
||||||
|
parameter ADB5MUX = "ADB5";
|
||||||
|
parameter ADB4MUX = "ADB4";
|
||||||
|
parameter ADB3MUX = "ADB3";
|
||||||
|
parameter ADB2MUX = "ADB2";
|
||||||
|
parameter ADB1MUX = "ADB1";
|
||||||
|
parameter ADB0MUX = "ADB0";
|
||||||
|
parameter CEBMUX = "CEB";
|
||||||
|
parameter OCEBMUX = "OCEB";
|
||||||
|
parameter CLKBMUX = "CLKB";
|
||||||
|
parameter WEBMUX = "WEB";
|
||||||
|
parameter RSTBMUX = "RSTB";
|
||||||
|
parameter CSB2MUX = "CSB2";
|
||||||
|
parameter CSB1MUX = "CSB1";
|
||||||
|
parameter CSB0MUX = "CSB0";
|
||||||
|
parameter DOB17MUX = "DOB17";
|
||||||
|
parameter DOB16MUX = "DOB16";
|
||||||
|
parameter DOB15MUX = "DOB15";
|
||||||
|
parameter DOB14MUX = "DOB14";
|
||||||
|
parameter DOB13MUX = "DOB13";
|
||||||
|
parameter DOB12MUX = "DOB12";
|
||||||
|
parameter DOB11MUX = "DOB11";
|
||||||
|
parameter DOB10MUX = "DOB10";
|
||||||
|
parameter DOB9MUX = "DOB9";
|
||||||
|
parameter DOB8MUX = "DOB8";
|
||||||
|
parameter DOB7MUX = "DOB7";
|
||||||
|
parameter DOB6MUX = "DOB6";
|
||||||
|
parameter DOB5MUX = "DOB5";
|
||||||
|
parameter DOB4MUX = "DOB4";
|
||||||
|
parameter DOB3MUX = "DOB3";
|
||||||
|
parameter DOB2MUX = "DOB2";
|
||||||
|
parameter DOB1MUX = "DOB1";
|
||||||
|
parameter DOB0MUX = "DOB0";
|
||||||
|
|
||||||
parameter GSR = "ENABLED";
|
parameter WID = 0;
|
||||||
|
|
||||||
parameter INITVAL_00 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter GSR = "ENABLED";
|
||||||
parameter INITVAL_01 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
|
||||||
parameter INITVAL_02 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_00 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_03 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_01 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_04 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_02 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_05 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_03 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_06 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_04 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_07 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_05 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_08 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_06 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_09 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_07 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_08 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_09 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_0F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_10 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_11 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_0F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_12 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_10 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_13 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_11 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_14 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_12 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_15 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_13 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_16 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_14 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_17 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_15 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_18 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_16 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_19 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_17 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_18 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_19 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_1F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_20 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_21 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_1F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_22 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_20 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_23 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_21 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_24 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_22 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_25 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_23 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_26 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_24 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_27 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_25 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_28 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_26 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_29 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_27 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_28 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_29 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_2F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_30 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_31 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_2F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_32 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_30 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_33 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_31 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_34 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_32 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_35 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_33 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_36 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_34 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_37 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_35 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_38 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_36 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_39 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_37 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_38 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_39 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_3A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_3B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_3C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
parameter INITVAL_3F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
parameter INITVAL_3D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
|
parameter INITVAL_3E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
|
parameter INITVAL_3F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
// TODO: Diamond flip-flops
|
// TODO: Diamond flip-flops
|
||||||
|
|
|
||||||
|
|
@ -4,7 +4,6 @@ OBJS += techlibs/ice40/ice40_braminit.o
|
||||||
OBJS += techlibs/ice40/ice40_ffssr.o
|
OBJS += techlibs/ice40/ice40_ffssr.o
|
||||||
OBJS += techlibs/ice40/ice40_ffinit.o
|
OBJS += techlibs/ice40/ice40_ffinit.o
|
||||||
OBJS += techlibs/ice40/ice40_opt.o
|
OBJS += techlibs/ice40/ice40_opt.o
|
||||||
OBJS += techlibs/ice40/ice40_unlut.o
|
|
||||||
|
|
||||||
GENFILES += techlibs/ice40/brams_init1.vh
|
GENFILES += techlibs/ice40/brams_init1.vh
|
||||||
GENFILES += techlibs/ice40/brams_init2.vh
|
GENFILES += techlibs/ice40/brams_init2.vh
|
||||||
|
|
|
||||||
|
|
@ -44,35 +44,21 @@ module _80_ice40_alu (A, B, CI, BI, X, Y, CO);
|
||||||
|
|
||||||
genvar i;
|
genvar i;
|
||||||
generate for (i = 0; i < Y_WIDTH; i = i + 1) begin:slice
|
generate for (i = 0; i < Y_WIDTH; i = i + 1) begin:slice
|
||||||
`ifdef _ABC
|
\$__ICE40_CARRY_WRAPPER #(
|
||||||
\$__ICE40_FULL_ADDER carry (
|
// A[0]: 1010 1010 1010 1010
|
||||||
|
// A[1]: 1100 1100 1100 1100
|
||||||
|
// A[2]: 1111 0000 1111 0000
|
||||||
|
// A[3]: 1111 1111 0000 0000
|
||||||
|
.LUT(16'b 0110_1001_1001_0110)
|
||||||
|
) fadd (
|
||||||
.A(AA[i]),
|
.A(AA[i]),
|
||||||
.B(BB[i]),
|
.B(BB[i]),
|
||||||
.CI(C[i]),
|
.CI(C[i]),
|
||||||
|
.I0(1'b0),
|
||||||
|
.I3(C[i]),
|
||||||
.CO(CO[i]),
|
.CO(CO[i]),
|
||||||
.O(Y[i])
|
.O(Y[i])
|
||||||
);
|
);
|
||||||
`else
|
|
||||||
SB_CARRY carry (
|
|
||||||
.I0(AA[i]),
|
|
||||||
.I1(BB[i]),
|
|
||||||
.CI(C[i]),
|
|
||||||
.CO(CO[i])
|
|
||||||
);
|
|
||||||
SB_LUT4 #(
|
|
||||||
// I0: 1010 1010 1010 1010
|
|
||||||
// I1: 1100 1100 1100 1100
|
|
||||||
// I2: 1111 0000 1111 0000
|
|
||||||
// I3: 1111 1111 0000 0000
|
|
||||||
.LUT_INIT(16'b 0110_1001_1001_0110)
|
|
||||||
) adder (
|
|
||||||
.I0(1'b0),
|
|
||||||
.I1(AA[i]),
|
|
||||||
.I2(BB[i]),
|
|
||||||
.I3(C[i]),
|
|
||||||
.O(Y[i])
|
|
||||||
);
|
|
||||||
`endif
|
|
||||||
end endgenerate
|
end endgenerate
|
||||||
|
|
||||||
assign X = AA ^ BB;
|
assign X = AA ^ BB;
|
||||||
|
|
|
||||||
|
|
@ -62,26 +62,21 @@ module \$lut (A, Y);
|
||||||
endmodule
|
endmodule
|
||||||
`endif
|
`endif
|
||||||
|
|
||||||
`ifdef _ABC
|
`ifndef NO_ADDER
|
||||||
module \$__ICE40_FULL_ADDER (output CO, O, input A, B, CI);
|
module \$__ICE40_CARRY_WRAPPER (output CO, O, input A, B, CI, I0, I3);
|
||||||
|
parameter LUT = 0;
|
||||||
SB_CARRY carry (
|
SB_CARRY carry (
|
||||||
.I0(A),
|
.I0(A),
|
||||||
.I1(B),
|
.I1(B),
|
||||||
.CI(CI),
|
.CI(CI),
|
||||||
.CO(CO)
|
.CO(CO)
|
||||||
);
|
);
|
||||||
SB_LUT4 #(
|
\$lut #(
|
||||||
// I0: 1010 1010 1010 1010
|
.WIDTH(4),
|
||||||
// I1: 1100 1100 1100 1100
|
.LUT(LUT)
|
||||||
// I2: 1111 0000 1111 0000
|
) lut (
|
||||||
// I3: 1111 1111 0000 0000
|
.A({I3,B,A,I0}),
|
||||||
.LUT_INIT(16'b 0110_1001_1001_0110)
|
.Y(O)
|
||||||
) adder (
|
|
||||||
.I0(1'b0),
|
|
||||||
.I1(A),
|
|
||||||
.I2(B),
|
|
||||||
.I3(CI),
|
|
||||||
.O(O)
|
|
||||||
);
|
);
|
||||||
endmodule
|
endmodule
|
||||||
`endif
|
`endif
|
||||||
|
|
|
||||||
|
|
@ -1,106 +0,0 @@
|
||||||
/*
|
|
||||||
* yosys -- Yosys Open SYnthesis Suite
|
|
||||||
*
|
|
||||||
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
|
|
||||||
*
|
|
||||||
* Permission to use, copy, modify, and/or distribute this software for any
|
|
||||||
* purpose with or without fee is hereby granted, provided that the above
|
|
||||||
* copyright notice and this permission notice appear in all copies.
|
|
||||||
*
|
|
||||||
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
|
|
||||||
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
|
|
||||||
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
|
|
||||||
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
|
|
||||||
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
|
|
||||||
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
|
|
||||||
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
|
|
||||||
*
|
|
||||||
*/
|
|
||||||
|
|
||||||
#include "kernel/yosys.h"
|
|
||||||
#include "kernel/sigtools.h"
|
|
||||||
#include <stdlib.h>
|
|
||||||
#include <stdio.h>
|
|
||||||
|
|
||||||
USING_YOSYS_NAMESPACE
|
|
||||||
PRIVATE_NAMESPACE_BEGIN
|
|
||||||
|
|
||||||
static SigBit get_bit_or_zero(const SigSpec &sig)
|
|
||||||
{
|
|
||||||
if (GetSize(sig) == 0)
|
|
||||||
return State::S0;
|
|
||||||
return sig[0];
|
|
||||||
}
|
|
||||||
|
|
||||||
static void run_ice40_unlut(Module *module)
|
|
||||||
{
|
|
||||||
SigMap sigmap(module);
|
|
||||||
|
|
||||||
for (auto cell : module->selected_cells())
|
|
||||||
{
|
|
||||||
if (cell->type == "\\SB_LUT4")
|
|
||||||
{
|
|
||||||
SigSpec inbits;
|
|
||||||
|
|
||||||
inbits.append(get_bit_or_zero(cell->getPort("\\I0")));
|
|
||||||
inbits.append(get_bit_or_zero(cell->getPort("\\I1")));
|
|
||||||
inbits.append(get_bit_or_zero(cell->getPort("\\I2")));
|
|
||||||
inbits.append(get_bit_or_zero(cell->getPort("\\I3")));
|
|
||||||
sigmap.apply(inbits);
|
|
||||||
|
|
||||||
log("Mapping SB_LUT4 cell %s.%s to $lut.\n", log_id(module), log_id(cell));
|
|
||||||
|
|
||||||
cell->type ="$lut";
|
|
||||||
cell->setParam("\\WIDTH", 4);
|
|
||||||
cell->setParam("\\LUT", cell->getParam("\\LUT_INIT"));
|
|
||||||
cell->unsetParam("\\LUT_INIT");
|
|
||||||
|
|
||||||
cell->setPort("\\A", SigSpec({
|
|
||||||
get_bit_or_zero(cell->getPort("\\I0")),
|
|
||||||
get_bit_or_zero(cell->getPort("\\I1")),
|
|
||||||
get_bit_or_zero(cell->getPort("\\I2")),
|
|
||||||
get_bit_or_zero(cell->getPort("\\I3"))
|
|
||||||
}));
|
|
||||||
cell->setPort("\\Y", cell->getPort("\\O")[0]);
|
|
||||||
cell->unsetPort("\\I0");
|
|
||||||
cell->unsetPort("\\I1");
|
|
||||||
cell->unsetPort("\\I2");
|
|
||||||
cell->unsetPort("\\I3");
|
|
||||||
cell->unsetPort("\\O");
|
|
||||||
|
|
||||||
cell->check();
|
|
||||||
}
|
|
||||||
}
|
|
||||||
}
|
|
||||||
|
|
||||||
struct Ice40UnlutPass : public Pass {
|
|
||||||
Ice40UnlutPass() : Pass("ice40_unlut", "iCE40: transform SB_LUT4 cells to $lut cells") { }
|
|
||||||
void help() YS_OVERRIDE
|
|
||||||
{
|
|
||||||
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
|
||||||
log("\n");
|
|
||||||
log(" ice40_unlut [options] [selection]\n");
|
|
||||||
log("\n");
|
|
||||||
log("This command transforms all SB_LUT4 cells to generic $lut cells.\n");
|
|
||||||
log("\n");
|
|
||||||
}
|
|
||||||
void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
|
|
||||||
{
|
|
||||||
log_header(design, "Executing ICE40_UNLUT pass (convert SB_LUT4 to $lut).\n");
|
|
||||||
log_push();
|
|
||||||
|
|
||||||
size_t argidx;
|
|
||||||
for (argidx = 1; argidx < args.size(); argidx++) {
|
|
||||||
// if (args[argidx] == "-???") {
|
|
||||||
// continue;
|
|
||||||
// }
|
|
||||||
break;
|
|
||||||
}
|
|
||||||
extra_args(args, argidx, design);
|
|
||||||
|
|
||||||
for (auto module : design->selected_modules())
|
|
||||||
run_ice40_unlut(module);
|
|
||||||
}
|
|
||||||
} Ice40UnlutPass;
|
|
||||||
|
|
||||||
PRIVATE_NAMESPACE_END
|
|
||||||
|
|
@ -238,7 +238,7 @@ struct SynthIce40Pass : public ScriptPass
|
||||||
{
|
{
|
||||||
if (check_label("begin"))
|
if (check_label("begin"))
|
||||||
{
|
{
|
||||||
run("read_verilog -icells -lib -D_ABC +/ice40/cells_sim.v");
|
run("read_verilog -icells -lib +/ice40/cells_sim.v");
|
||||||
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
|
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
|
||||||
run("proc");
|
run("proc");
|
||||||
}
|
}
|
||||||
|
|
@ -293,8 +293,10 @@ struct SynthIce40Pass : public ScriptPass
|
||||||
{
|
{
|
||||||
if (nocarry)
|
if (nocarry)
|
||||||
run("techmap");
|
run("techmap");
|
||||||
else
|
else {
|
||||||
run("techmap -map +/techmap.v -map +/ice40/arith_map.v" + std::string(abc == "abc9" ? " -D _ABC" : ""));
|
run("ice40_wrapcarry");
|
||||||
|
run("techmap -map +/techmap.v -map +/ice40/arith_map.v");
|
||||||
|
}
|
||||||
if (retime || help_mode)
|
if (retime || help_mode)
|
||||||
run(abc + " -dff", "(only if -retime)");
|
run(abc + " -dff", "(only if -retime)");
|
||||||
run("ice40_opt");
|
run("ice40_opt");
|
||||||
|
|
@ -309,7 +311,7 @@ struct SynthIce40Pass : public ScriptPass
|
||||||
run("opt_merge");
|
run("opt_merge");
|
||||||
run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
|
run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
|
||||||
}
|
}
|
||||||
run("techmap -D NO_LUT -map +/ice40/cells_map.v");
|
run("techmap -D NO_LUT -D NO_ADDER -map +/ice40/cells_map.v");
|
||||||
run("opt_expr -mux_undef");
|
run("opt_expr -mux_undef");
|
||||||
run("simplemap");
|
run("simplemap");
|
||||||
run("ice40_ffinit");
|
run("ice40_ffinit");
|
||||||
|
|
@ -338,13 +340,12 @@ struct SynthIce40Pass : public ScriptPass
|
||||||
else
|
else
|
||||||
wire_delay = 250;
|
wire_delay = 250;
|
||||||
run(abc + stringf(" -W %d -lut +/ice40/abc_%s.lut -box +/ice40/abc_%s.box", wire_delay, device_opt.c_str(), device_opt.c_str()), "(skip if -noabc)");
|
run(abc + stringf(" -W %d -lut +/ice40/abc_%s.lut -box +/ice40/abc_%s.box", wire_delay, device_opt.c_str(), device_opt.c_str()), "(skip if -noabc)");
|
||||||
run("techmap -D NO_LUT -D _ABC -map +/ice40/cells_map.v");
|
|
||||||
}
|
}
|
||||||
else
|
else
|
||||||
run(abc + " -dress -lut 4", "(skip if -noabc)");
|
run(abc + " -dress -lut 4", "(skip if -noabc)");
|
||||||
}
|
}
|
||||||
|
run("techmap -D NO_LUT -map +/ice40/cells_map.v");
|
||||||
run("clean");
|
run("clean");
|
||||||
run("ice40_unlut");
|
|
||||||
run("opt_lut -dlogic SB_CARRY:I0=2:I1=1:CI=0");
|
run("opt_lut -dlogic SB_CARRY:I0=2:I1=1:CI=0");
|
||||||
}
|
}
|
||||||
|
|
||||||
|
|
|
||||||
|
|
@ -1,6 +1,5 @@
|
||||||
read_verilog test_arith.v
|
read_verilog test_arith.v
|
||||||
synth_ice40
|
synth_ice40
|
||||||
techmap -map ../cells_sim.v
|
|
||||||
rename test gate
|
rename test gate
|
||||||
|
|
||||||
read_verilog test_arith.v
|
read_verilog test_arith.v
|
||||||
|
|
@ -8,3 +7,11 @@ rename test gold
|
||||||
|
|
||||||
miter -equiv -flatten -make_outputs gold gate miter
|
miter -equiv -flatten -make_outputs gold gate miter
|
||||||
sat -verify -prove trigger 0 -show-ports miter
|
sat -verify -prove trigger 0 -show-ports miter
|
||||||
|
|
||||||
|
synth_ice40 -top gate
|
||||||
|
|
||||||
|
read_verilog test_arith.v
|
||||||
|
rename test gold
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_outputs gold gate miter
|
||||||
|
sat -verify -prove trigger 0 -show-ports miter
|
||||||
|
|
|
||||||
148
tests/opt/opt_expr.ys
Normal file
148
tests/opt/opt_expr.ys
Normal file
|
|
@ -0,0 +1,148 @@
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_add_test(input [3:0] i, input [7:0] j, output [8:0] o);
|
||||||
|
assign o = (i << 4) + j;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$add r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_add_signed_test(input signed [3:0] i, input signed [7:0] j, output signed [8:0] o);
|
||||||
|
assign o = (i << 4) + j;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$add r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_sub_test1(input [3:0] i, input [7:0] j, output [8:0] o);
|
||||||
|
assign o = j - (i << 4);
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_sub_signed_test1(input signed [3:0] i, input signed [7:0] j, output signed [8:0] o);
|
||||||
|
assign o = j - (i << 4);
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_sub_test2(input [3:0] i, input [7:0] j, output [8:0] o);
|
||||||
|
assign o = (i << 4) - j;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=8 r:B_WIDTH=8 r:Y_WIDTH=9 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module opt_expr_sub_test4(input [3:0] i, output [8:0] o);
|
||||||
|
assign o = 5'b00010 - i;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr -fine
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=2 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
@ -1,21 +0,0 @@
|
||||||
module top(
|
|
||||||
input clk,
|
|
||||||
input rst,
|
|
||||||
input [2:0] a,
|
|
||||||
output [1:0] b
|
|
||||||
);
|
|
||||||
reg [2:0] b_reg;
|
|
||||||
initial begin
|
|
||||||
b_reg <= 3'b0;
|
|
||||||
end
|
|
||||||
|
|
||||||
assign b = b_reg[1:0];
|
|
||||||
always @(posedge clk or posedge rst) begin
|
|
||||||
if(rst) begin
|
|
||||||
b_reg <= 3'b0;
|
|
||||||
end else begin
|
|
||||||
b_reg <= a;
|
|
||||||
end
|
|
||||||
end
|
|
||||||
endmodule
|
|
||||||
|
|
||||||
|
|
@ -1,3 +0,0 @@
|
||||||
read_verilog opt_ff.v
|
|
||||||
synth_ice40
|
|
||||||
ice40_unlut
|
|
||||||
|
|
@ -1,4 +1,2 @@
|
||||||
read_verilog opt_lut.v
|
read_verilog opt_lut.v
|
||||||
synth_ice40
|
equiv_opt -map +/ice40/cells_sim.v -assert synth_ice40
|
||||||
ice40_unlut
|
|
||||||
equiv_opt -map +/ice40/cells_sim.v -assert opt_lut -dlogic SB_CARRY:I0=1:I1=2:CI=3
|
|
||||||
|
|
|
||||||
|
|
@ -1,4 +1,4 @@
|
||||||
read_verilog opt_ff_sat.v
|
read_verilog opt_rmdff_sat.v
|
||||||
prep -flatten
|
prep -flatten
|
||||||
opt_rmdff -sat
|
opt_rmdff -sat
|
||||||
synth
|
synth
|
||||||
|
|
@ -1,10 +1,12 @@
|
||||||
# This file contains the names of verilog files to exclude from verilog to FIRRTL regression tests due to known failures.
|
# This file contains the names of verilog files to exclude from verilog to FIRRTL regression tests due to known failures.
|
||||||
arraycells.v inst id[0] of
|
arraycells.v inst id[0] of
|
||||||
|
defvalue.sv Initial value not supported
|
||||||
dff_different_styles.v
|
dff_different_styles.v
|
||||||
dff_init.v Initial value not supported
|
dff_init.v Initial value not supported
|
||||||
generate.v combinational loop
|
generate.v combinational loop
|
||||||
hierdefparam.v inst id[0] of
|
hierdefparam.v inst id[0] of
|
||||||
i2c_master_tests.v $adff
|
i2c_master_tests.v $adff
|
||||||
|
implicit_ports.v not fully initialized
|
||||||
macros.v drops modules
|
macros.v drops modules
|
||||||
mem2reg.v drops modules
|
mem2reg.v drops modules
|
||||||
mem_arst.v $adff
|
mem_arst.v $adff
|
||||||
|
|
@ -12,7 +14,6 @@ memory.v $adff
|
||||||
multiplier.v inst id[0] of
|
multiplier.v inst id[0] of
|
||||||
muxtree.v drops modules
|
muxtree.v drops modules
|
||||||
omsp_dbg_uart.v $adff
|
omsp_dbg_uart.v $adff
|
||||||
operators.v $pow
|
|
||||||
partsel.v drops modules
|
partsel.v drops modules
|
||||||
process.v drops modules
|
process.v drops modules
|
||||||
realexpr.v drops modules
|
realexpr.v drops modules
|
||||||
|
|
@ -23,5 +24,6 @@ specify.v no code (empty module generates error
|
||||||
subbytes.v $adff
|
subbytes.v $adff
|
||||||
task_func.v drops modules
|
task_func.v drops modules
|
||||||
values.v combinational loop
|
values.v combinational loop
|
||||||
|
wandwor.v Invalid connect to an expression that is not a reference or a WritePort.
|
||||||
vloghammer.v combinational loop
|
vloghammer.v combinational loop
|
||||||
wreduce.v original verilog issues ( -x where x isn't declared signed)
|
wreduce.v original verilog issues ( -x where x isn't declared signed)
|
||||||
|
|
|
||||||
2
tests/various/.gitignore
vendored
2
tests/various/.gitignore
vendored
|
|
@ -1,2 +1,4 @@
|
||||||
/*.log
|
/*.log
|
||||||
/*.out
|
/*.out
|
||||||
|
/write_gzip.v
|
||||||
|
/write_gzip.v.gz
|
||||||
|
|
|
||||||
79
tests/various/wreduce.ys
Normal file
79
tests/various/wreduce.ys
Normal file
|
|
@ -0,0 +1,79 @@
|
||||||
|
read_verilog <<EOT
|
||||||
|
module wreduce_sub_test(input [3:0] i, input [7:0] j, output [8:0] o);
|
||||||
|
assign o = (j >> 4) - i;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
read_verilog <<EOT
|
||||||
|
module wreduce_sub_signed_test(input signed [3:0] i, input signed [7:0] j, output signed [8:0] o);
|
||||||
|
assign o = (j >>> 4) - i;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
hierarchy -auto-top
|
||||||
|
proc
|
||||||
|
design -save gold
|
||||||
|
|
||||||
|
opt_expr
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
|
||||||
|
|
||||||
|
design -stash gate
|
||||||
|
|
||||||
|
design -import gold -as gold
|
||||||
|
design -import gate -as gate
|
||||||
|
|
||||||
|
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||||
|
sat -verify -prove-asserts -show-ports miter
|
||||||
|
|
||||||
|
##########
|
||||||
|
|
||||||
|
# Testcase from: https://github.com/YosysHQ/yosys/commit/25680f6a078bb32f157bd580705656496717bafb
|
||||||
|
design -reset
|
||||||
|
read_verilog <<EOT
|
||||||
|
module top(
|
||||||
|
input clk,
|
||||||
|
input rst,
|
||||||
|
input [2:0] a,
|
||||||
|
output [1:0] b
|
||||||
|
);
|
||||||
|
reg [2:0] b_reg;
|
||||||
|
initial begin
|
||||||
|
b_reg <= 3'b0;
|
||||||
|
end
|
||||||
|
|
||||||
|
assign b = b_reg[1:0];
|
||||||
|
always @(posedge clk or posedge rst) begin
|
||||||
|
if(rst) begin
|
||||||
|
b_reg <= 3'b0;
|
||||||
|
end else begin
|
||||||
|
b_reg <= a;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
proc
|
||||||
|
wreduce
|
||||||
|
|
||||||
|
select -assert-count 1 t:$adff r:ARST_VALUE=2'b00 %i
|
||||||
16
tests/various/write_gzip.ys
Normal file
16
tests/various/write_gzip.ys
Normal file
|
|
@ -0,0 +1,16 @@
|
||||||
|
read -vlog2k <<EOT
|
||||||
|
module top(input a, output y);
|
||||||
|
assign y = !a;
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
|
||||||
|
prep -top top
|
||||||
|
write_verilog write_gzip.v.gz
|
||||||
|
design -reset
|
||||||
|
|
||||||
|
! rm -f write_gzip.v
|
||||||
|
! gunzip write_gzip.v.gz
|
||||||
|
read -vlog2k write_gzip.v
|
||||||
|
! rm -f write_gzip.v
|
||||||
|
hierarchy -top top
|
||||||
|
select -assert-any top
|
||||||
Loading…
Add table
Add a link
Reference in a new issue