mirror of
				https://github.com/YosysHQ/yosys
				synced 2025-10-31 03:32:29 +00:00 
			
		
		
		
	Fixes and cleanups for blackbox.v
This commit is contained in:
		
							parent
							
								
									af0c8873bb
								
							
						
					
					
						commit
						6dc07eb1f2
					
				
					 2 changed files with 79 additions and 76 deletions
				
			
		|  | @ -1,4 +1,5 @@ | |||
| #!/bin/sed -r | ||||
| /^(wire|assign|reg|event)/ d; | ||||
| /^(genvar|generate|always|initial)/,/^end/ d; | ||||
| /^(wire|assign|reg|event|integer|localparam|\/\/|[\/ ]\*| *$|`)/ d; | ||||
| /^(genvar|generate|always|initial|task|function)/,/^end/ d; | ||||
| /^endmodule/ s/$/\n/; | ||||
| s/ reg / /; | ||||
|  |  | |||
|  | @ -795,21 +795,22 @@ endmodule | |||
| // -------------------------------------------------------- | ||||
| 
 | ||||
| module \$macc (A, B, Y); | ||||
| 	parameter A_WIDTH = 0; | ||||
| 	parameter B_WIDTH = 0; | ||||
| 	parameter Y_WIDTH = 0; | ||||
| 	parameter CONFIG = 4'b0000; | ||||
| 	parameter CONFIG_WIDTH = 4; | ||||
| 
 | ||||
| 	input [A_WIDTH-1:0] A; | ||||
| 	input [B_WIDTH-1:0] B; | ||||
| 	output reg [Y_WIDTH-1:0] Y; | ||||
| parameter A_WIDTH = 0; | ||||
| parameter B_WIDTH = 0; | ||||
| parameter Y_WIDTH = 0; | ||||
| parameter CONFIG = 4'b0000; | ||||
| parameter CONFIG_WIDTH = 4; | ||||
| 
 | ||||
| 	localparam integer num_bits = CONFIG[3:0]; | ||||
| 	localparam integer num_ports = (CONFIG_WIDTH-4) / (2 + 2*num_bits); | ||||
| 	localparam integer num_abits = $clog2(A_WIDTH) > 0 ? $clog2(A_WIDTH) : 1; | ||||
| input [A_WIDTH-1:0] A; | ||||
| input [B_WIDTH-1:0] B; | ||||
| output reg [Y_WIDTH-1:0] Y; | ||||
| 
 | ||||
| 	function [2*num_ports*num_abits-1:0] get_port_offsets; | ||||
| localparam integer num_bits = CONFIG[3:0]; | ||||
| localparam integer num_ports = (CONFIG_WIDTH-4) / (2 + 2*num_bits); | ||||
| localparam integer num_abits = $clog2(A_WIDTH) > 0 ? $clog2(A_WIDTH) : 1; | ||||
| 
 | ||||
| function [2*num_ports*num_abits-1:0] get_port_offsets; | ||||
| 	input [CONFIG_WIDTH-1:0] cfg; | ||||
| 	integer i, cursor; | ||||
| 	begin | ||||
|  | @ -822,21 +823,21 @@ module \$macc (A, B, Y); | |||
| 			cursor = cursor + cfg[4 + i*(2 + 2*num_bits) + 2 + num_bits +: num_bits]; | ||||
| 		end | ||||
| 	end | ||||
| 	endfunction | ||||
| endfunction | ||||
| 
 | ||||
| 	localparam [2*num_ports*num_abits-1:0] port_offsets = get_port_offsets(CONFIG); | ||||
| localparam [2*num_ports*num_abits-1:0] port_offsets = get_port_offsets(CONFIG); | ||||
| 
 | ||||
| 	`define PORT_IS_SIGNED   (0 + CONFIG[4 + i*(2 + 2*num_bits)]) | ||||
| 	`define PORT_DO_SUBTRACT (0 + CONFIG[4 + i*(2 + 2*num_bits) + 1]) | ||||
| 	`define PORT_SIZE_A      (0 + CONFIG[4 + i*(2 + 2*num_bits) + 2 +: num_bits]) | ||||
| 	`define PORT_SIZE_B      (0 + CONFIG[4 + i*(2 + 2*num_bits) + 2 + num_bits +: num_bits]) | ||||
| 	`define PORT_OFFSET_A    (0 + port_offsets[2*i*num_abits +: num_abits]) | ||||
| 	`define PORT_OFFSET_B    (0 + port_offsets[2*i*num_abits + num_abits +: num_abits]) | ||||
| `define PORT_IS_SIGNED   (0 + CONFIG[4 + i*(2 + 2*num_bits)]) | ||||
| `define PORT_DO_SUBTRACT (0 + CONFIG[4 + i*(2 + 2*num_bits) + 1]) | ||||
| `define PORT_SIZE_A      (0 + CONFIG[4 + i*(2 + 2*num_bits) + 2 +: num_bits]) | ||||
| `define PORT_SIZE_B      (0 + CONFIG[4 + i*(2 + 2*num_bits) + 2 + num_bits +: num_bits]) | ||||
| `define PORT_OFFSET_A    (0 + port_offsets[2*i*num_abits +: num_abits]) | ||||
| `define PORT_OFFSET_B    (0 + port_offsets[2*i*num_abits + num_abits +: num_abits]) | ||||
| 
 | ||||
| 	integer i, j; | ||||
| 	reg [Y_WIDTH-1:0] tmp_a, tmp_b; | ||||
| integer i, j; | ||||
| reg [Y_WIDTH-1:0] tmp_a, tmp_b; | ||||
| 
 | ||||
| 	always @* begin | ||||
| always @* begin | ||||
| 	Y = 0; | ||||
| 	for (i = 0; i < num_ports; i = i+1) | ||||
| 	begin | ||||
|  | @ -868,14 +869,15 @@ module \$macc (A, B, Y); | |||
| 	for (i = 0; i < B_WIDTH; i = i+1) begin | ||||
| 		Y = Y + B[i]; | ||||
| 	end | ||||
| 	end | ||||
| end | ||||
| 
 | ||||
| `undef PORT_IS_SIGNED | ||||
| `undef PORT_DO_SUBTRACT | ||||
| `undef PORT_SIZE_A | ||||
| `undef PORT_SIZE_B | ||||
| `undef PORT_OFFSET_A | ||||
| `undef PORT_OFFSET_B | ||||
| 
 | ||||
| 	`undef PORT_IS_SIGNED | ||||
| 	`undef PORT_DO_SUBTRACT | ||||
| 	`undef PORT_SIZE_A | ||||
| 	`undef PORT_SIZE_B | ||||
| 	`undef PORT_OFFSET_A | ||||
| 	`undef PORT_OFFSET_B | ||||
| endmodule | ||||
| 
 | ||||
| // -------------------------------------------------------- | ||||
|  |  | |||
		Loading…
	
	Add table
		Add a link
		
	
		Reference in a new issue