mirror of
https://github.com/YosysHQ/yosys
synced 2025-07-18 18:36:43 +00:00
Merge pull request #2080 from YosysHQ/eddie/fix_test_warnings
tests: reduce test warnings
This commit is contained in:
commit
46ed0db2ec
6 changed files with 7 additions and 7 deletions
|
@ -1,6 +1,6 @@
|
|||
read_verilog -icells <<EOT
|
||||
module \$__XILINX_SHREG_ (input C, input D, input [31:0] L, input E, output Q, output SO);
|
||||
parameter DEPTH = 1;
|
||||
parameter DEPTH = 2;
|
||||
parameter [DEPTH-1:0] INIT = 0;
|
||||
parameter CLKPOL = 1;
|
||||
parameter ENPOL = 2;
|
||||
|
|
|
@ -29,7 +29,7 @@ endmodule
|
|||
module $__XILINX_SHREG_(input C, D, E, input [1:0] L, output Q);
|
||||
parameter CLKPOL = 1;
|
||||
parameter ENPOL = 1;
|
||||
parameter DEPTH = 1;
|
||||
parameter DEPTH = 2;
|
||||
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
|
||||
reg [DEPTH-1:0] r = INIT;
|
||||
wire clk = C ^ CLKPOL;
|
||||
|
|
|
@ -11,7 +11,7 @@ module foo(clk, rst, inp_a, inp_b, out);
|
|||
input wire rst;
|
||||
input wire [7:0] inp_a;
|
||||
input wire [7:0] inp_b;
|
||||
output wire [7:0] out;
|
||||
output reg [7:0] out;
|
||||
|
||||
always @(posedge clk)
|
||||
if (rst) out <= 0;
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
(* techmap_celltype = "$reduce_or" *)
|
||||
module my_opt_reduce_or(...);
|
||||
parameter A_SIGNED = 0;
|
||||
parameter A_WIDTH = 1;
|
||||
parameter A_WIDTH = 2;
|
||||
parameter Y_WIDTH = 1;
|
||||
|
||||
input [A_WIDTH-1:0] A;
|
||||
|
|
|
@ -13,7 +13,7 @@ assign q = {shift2[3], shift1[3]};
|
|||
endmodule
|
||||
|
||||
module $__SHREG_DFF_P_(input C, D, output Q);
|
||||
parameter DEPTH = 1;
|
||||
parameter DEPTH = 2;
|
||||
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
|
||||
reg [DEPTH-1:0] r = INIT;
|
||||
always @(posedge C)
|
||||
|
@ -38,7 +38,7 @@ endmodule
|
|||
module $__XILINX_SHREG_(input C, D, input [1:0] L, output Q);
|
||||
parameter CLKPOL = 1;
|
||||
parameter ENPOL = 1;
|
||||
parameter DEPTH = 1;
|
||||
parameter DEPTH = 2;
|
||||
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
|
||||
reg [DEPTH-1:0] r = INIT;
|
||||
wire clk = C ^ CLKPOL;
|
||||
|
|
|
@ -2,7 +2,7 @@ read_verilog -sv <<EOT
|
|||
module Task_Test_Top
|
||||
(
|
||||
input a,
|
||||
output b
|
||||
output reg b
|
||||
);
|
||||
|
||||
task SomeTaskName(a);
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue