3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-04-08 10:25:19 +00:00

Remove tests/opt/opt_ff.{v,ys} as they don't seem to do anything but run

This commit is contained in:
Eddie Hung 2019-08-07 16:48:38 -07:00
parent 9776084eda
commit 4545bf482f
2 changed files with 0 additions and 24 deletions

View file

@ -1,21 +0,0 @@
module top(
input clk,
input rst,
input [2:0] a,
output [1:0] b
);
reg [2:0] b_reg;
initial begin
b_reg <= 3'b0;
end
assign b = b_reg[1:0];
always @(posedge clk or posedge rst) begin
if(rst) begin
b_reg <= 3'b0;
end else begin
b_reg <= a;
end
end
endmodule

View file

@ -1,3 +0,0 @@
read_verilog opt_ff.v
synth_ice40
ice40_unlut