From 4513783a02d8b67a16d9d9b3ccad2265c9766868 Mon Sep 17 00:00:00 2001 From: "N. Engelhardt" Date: Tue, 14 Oct 2025 15:48:16 +0200 Subject: [PATCH] add tests --- tests/verific/ext_ramnet_err.sv | 13 +++++++++++++ tests/verific/ext_ramnet_err.ys | 5 +++++ tests/verific/import_warning_operator.vhd | 15 +++++++++++++++ tests/verific/import_warning_operator.ys | 5 +++++ 4 files changed, 38 insertions(+) create mode 100644 tests/verific/ext_ramnet_err.sv create mode 100644 tests/verific/ext_ramnet_err.ys create mode 100644 tests/verific/import_warning_operator.vhd create mode 100644 tests/verific/import_warning_operator.ys diff --git a/tests/verific/ext_ramnet_err.sv b/tests/verific/ext_ramnet_err.sv new file mode 100644 index 000000000..ba8040004 --- /dev/null +++ b/tests/verific/ext_ramnet_err.sv @@ -0,0 +1,13 @@ +module sub_rom (input clk, input [3:0] addr, output reg [7:0] data); + reg [7:0] mem [0:15]; + + always @(posedge clk) + data <= mem[addr]; +endmodule + +module top (input clk, input [3:0] addr, output [7:0] data, input [3:0] f_addr, input [7:0] f_data); + sub_rom u_sub_rom (clk, addr, data); + + always @(posedge clk) + assume(u_sub_rom.mem[f_addr] == f_data); +endmodule diff --git a/tests/verific/ext_ramnet_err.ys b/tests/verific/ext_ramnet_err.ys new file mode 100644 index 000000000..f1d45bd47 --- /dev/null +++ b/tests/verific/ext_ramnet_err.ys @@ -0,0 +1,5 @@ +logger -expect error "ext_ramnet_err.sv:\d+.\d+-\d+.\d+: Memory net '\S+' missing, possibly no driver, use verific -flatten." 1 +verific -sv ext_ramnet_err.sv +verific -import top +logger -check-expected +design -reset diff --git a/tests/verific/import_warning_operator.vhd b/tests/verific/import_warning_operator.vhd new file mode 100644 index 000000000..0b7d51788 --- /dev/null +++ b/tests/verific/import_warning_operator.vhd @@ -0,0 +1,15 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity top is + Port ( + a : in STD_LOGIC_VECTOR(3 downto 0); + b : in STD_LOGIC_VECTOR(3 downto 0); + y : out STD_LOGIC_VECTOR(3 downto 0) + ); +end top; + +architecture Behavioral of top is +begin + y <= a nor b; +end Behavioral; diff --git a/tests/verific/import_warning_operator.ys b/tests/verific/import_warning_operator.ys new file mode 100644 index 000000000..9d16e3c18 --- /dev/null +++ b/tests/verific/import_warning_operator.ys @@ -0,0 +1,5 @@ +logger -expect warning "import_warning_operator.vhd:\d+.\d+-\d+.\d+: Unsupported Verific operator: nor_4 (fallback to gate level implementation provided by verific)" 1 +verific -vhdl import_warning_operator.vhd +verific -import top +logger -check-expected +design -reset