mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-29 11:55:52 +00:00
Adding latch tests for shift&mask AST dynamic part-select enhancements
This commit is contained in:
parent
5c426d2bff
commit
3c2a1171ff
18 changed files with 326 additions and 69 deletions
13
tests/various/dynamic_part_select/latch_002.v
Normal file
13
tests/various/dynamic_part_select/latch_002.v
Normal file
|
@ -0,0 +1,13 @@
|
|||
`default_nettype none
|
||||
module latch_002
|
||||
(dword, sel, st, vect);
|
||||
output reg [63:0] dword;
|
||||
input wire [7:0] vect;
|
||||
input wire [7:0] sel;
|
||||
input wire st;
|
||||
|
||||
always @(*) begin
|
||||
if (st)
|
||||
dword[8*sel +:8] <= vect[7:0];
|
||||
end
|
||||
endmodule // latch_002
|
Loading…
Add table
Add a link
Reference in a new issue