3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-12-22 04:03:45 +00:00

Merge pull request #3530 from jix/simlib-mux-fix

simlib: Simplify recently changed $mux model
This commit is contained in:
Jannis Harder 2022-10-29 14:54:36 +02:00 committed by GitHub
commit 31c15e5fa6
No known key found for this signature in database
GPG key ID: 4AEE18F83AFDEB23

View file

@ -1279,11 +1279,9 @@ parameter WIDTH = 0;
input [WIDTH-1:0] A, B;
input S;
output reg [WIDTH-1:0] Y;
output [WIDTH-1:0] Y;
always @* begin
assign Y = S ? B : A;
end
assign Y = S ? B : A;
endmodule