3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-07-18 02:16:41 +00:00

Refactor "opt_rmdff -sat"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
Clifford Wolf 2019-06-20 13:44:21 +02:00
parent 73bd1d59a7
commit 2454ad99bf
4 changed files with 57 additions and 372 deletions

View file

@ -1,15 +1,12 @@
module top(
input clk,
input a,
output b
);
reg b_reg;
initial begin
b_reg <= 0;
end
assign b = b_reg;
always @(posedge clk) begin
b_reg <= a && b_reg;
end
module top (
input clk,
output reg [7:0] cnt
);
initial cnt = 0;
always @(posedge clk) begin
if (cnt < 20)
cnt <= cnt + 1;
else
cnt <= 0;
end
endmodule