From 3e816e992224612ace7d43171e8c1993b7e8238d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Emil=20Ji=C5=99=C3=AD=20Tywoniak?= Date: Sun, 9 Oct 2022 18:24:43 +0200 Subject: [PATCH 001/159] experimental temporal induction counterexample loop detection --- backends/smt2/smtbmc.py | 44 ++++++++++++++++++++++++++++++++++++++++- 1 file changed, 43 insertions(+), 1 deletion(-) diff --git a/backends/smt2/smtbmc.py b/backends/smt2/smtbmc.py index 5f05287de..4997833f4 100644 --- a/backends/smt2/smtbmc.py +++ b/backends/smt2/smtbmc.py @@ -55,6 +55,7 @@ noinit = False binarymode = False keep_going = False check_witness = False +find_loops = False so = SmtOpts() @@ -175,6 +176,9 @@ def usage(): check that the used witness file contains sufficient constraints to force an assertion failure. + --find-loops + check if states are unique in temporal induction counterexamples + """ + so.helpmsg()) sys.exit(1) @@ -183,7 +187,7 @@ try: opts, args = getopt.getopt(sys.argv[1:], so.shortopts + "t:igcm:", so.longopts + ["final-only", "assume-skipped=", "smtc=", "cex=", "aig=", "aig-noheader", "yw=", "btorwit=", "presat", "dump-vcd=", "dump-yw=", "dump-vlogtb=", "vlogtb-top=", "dump-smtc=", "dump-all", "noinfo", "append=", - "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness"]) + "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness", "find-loops"]) except: usage() @@ -264,6 +268,8 @@ for o, a in opts: keep_going = True elif o == "--check-witness": check_witness = True + elif o == "--find-loops": + find_loops = True elif so.handle(o, a): pass else: @@ -969,6 +975,38 @@ def write_vcd_trace(steps_start, steps_stop, index): vcd.set_time(steps_stop) +def find_state_loop(steps_start, steps_stop): + print_msg(f"Looking for loops") + + path_list = list() + + for netpath in sorted(smt.hiernets(topmod)): + hidden_net = False + for n in netpath: + if n.startswith("$"): + hidden_net = True + if not hidden_net: + path_list.append(netpath) + + mem_trace_data = collect_mem_trace_data(steps_start, steps_stop) + + states = dict() + path_tupled_list = [tuple(p) for p in path_list] + for i in range(steps_start, steps_stop): + value_list = smt.get_net_bin_list(topmod, path_list, "s%d" % i) + state = tuple(zip(path_tupled_list, value_list)) + if states.get(state): + return (i, states[state]) + else: + states[state] = i + + if i in mem_trace_data: + if mem_trace_data[i]: + print_msg(f"This design contains memory. Searching for counterexample loops will be skipped") + return None + + return None + def char_ok_in_verilog(c,i): if ('A' <= c <= 'Z'): return True if ('a' <= c <= 'z'): return True @@ -1596,6 +1634,10 @@ if tempind: print_anyconsts(num_steps) print_failed_asserts(num_steps) write_trace(step, num_steps+1, '%', allregs=True) + if find_loops: + loop = find_state_loop(step, num_steps+1) + if loop: + print_msg(f"Loop detected, increasing induction depth will not help. Cycle {loop[0]} = cycle {loop[1]}") elif dumpall: print_anyconsts(num_steps) From 0dbebea939ec52dfa2a0d522adfede123405a81c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Emil=20Ji=C5=99=C3=AD=20Tywoniak?= Date: Tue, 11 Oct 2022 19:48:16 +0200 Subject: [PATCH 002/159] include memory in state --- backends/smt2/smtbmc.py | 48 ++++++++++++++++++----------------------- 1 file changed, 21 insertions(+), 27 deletions(-) diff --git a/backends/smt2/smtbmc.py b/backends/smt2/smtbmc.py index 4997833f4..fd54622f2 100644 --- a/backends/smt2/smtbmc.py +++ b/backends/smt2/smtbmc.py @@ -55,7 +55,7 @@ noinit = False binarymode = False keep_going = False check_witness = False -find_loops = False +detect_loops = False so = SmtOpts() @@ -176,8 +176,9 @@ def usage(): check that the used witness file contains sufficient constraints to force an assertion failure. - --find-loops - check if states are unique in temporal induction counterexamples + --detect-loops + check if states are unique in temporal induction counter examples + (this feature is experimental and incomplete) """ + so.helpmsg()) sys.exit(1) @@ -187,7 +188,7 @@ try: opts, args = getopt.getopt(sys.argv[1:], so.shortopts + "t:igcm:", so.longopts + ["final-only", "assume-skipped=", "smtc=", "cex=", "aig=", "aig-noheader", "yw=", "btorwit=", "presat", "dump-vcd=", "dump-yw=", "dump-vlogtb=", "vlogtb-top=", "dump-smtc=", "dump-all", "noinfo", "append=", - "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness", "find-loops"]) + "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness", "detect-loops"]) except: usage() @@ -268,8 +269,8 @@ for o, a in opts: keep_going = True elif o == "--check-witness": check_witness = True - elif o == "--find-loops": - find_loops = True + elif o == "--detect-loops": + detect_loops = True elif so.handle(o, a): pass else: @@ -975,36 +976,29 @@ def write_vcd_trace(steps_start, steps_stop, index): vcd.set_time(steps_stop) -def find_state_loop(steps_start, steps_stop): - print_msg(f"Looking for loops") +def detect_state_loop(steps_start, steps_stop): + print_msg(f"Checking for loops in found induction counter example") + print_msg(f"This feature is experimental and incomplete") - path_list = list() - - for netpath in sorted(smt.hiernets(topmod)): - hidden_net = False - for n in netpath: - if n.startswith("$"): - hidden_net = True - if not hidden_net: - path_list.append(netpath) + path_list = sorted(smt.hiernets(topmod, regs_only=True)) mem_trace_data = collect_mem_trace_data(steps_start, steps_stop) + # Map state to index of step when it occurred states = dict() - path_tupled_list = [tuple(p) for p in path_list] + for i in range(steps_start, steps_stop): value_list = smt.get_net_bin_list(topmod, path_list, "s%d" % i) - state = tuple(zip(path_tupled_list, value_list)) + mem_state = sorted( + [(tuple(path), addr, data) + for path, addr, data in mem_trace_data.get(i, [])] + ) + state = tuple(value_list), tuple(mem_state) if states.get(state): return (i, states[state]) else: states[state] = i - if i in mem_trace_data: - if mem_trace_data[i]: - print_msg(f"This design contains memory. Searching for counterexample loops will be skipped") - return None - return None def char_ok_in_verilog(c,i): @@ -1634,10 +1628,10 @@ if tempind: print_anyconsts(num_steps) print_failed_asserts(num_steps) write_trace(step, num_steps+1, '%', allregs=True) - if find_loops: - loop = find_state_loop(step, num_steps+1) + if detect_loops: + loop = detect_state_loop(step, num_steps+1) if loop: - print_msg(f"Loop detected, increasing induction depth will not help. Cycle {loop[0]} = cycle {loop[1]}") + print_msg(f"Loop detected, increasing induction depth will not help. Step {loop[0]} = step {loop[1]}") elif dumpall: print_anyconsts(num_steps) From 8d4000a9b75dd636e9609b9e6a05d7ae3d7a9dee Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Emil=20Ji=C5=99=C3=AD=20Tywoniak?= Date: Tue, 11 Oct 2022 19:52:44 +0200 Subject: [PATCH 003/159] include memory in state --- backends/smt2/smtbmc.py | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/backends/smt2/smtbmc.py b/backends/smt2/smtbmc.py index fd54622f2..c25f529b9 100644 --- a/backends/smt2/smtbmc.py +++ b/backends/smt2/smtbmc.py @@ -991,8 +991,7 @@ def detect_state_loop(steps_start, steps_stop): value_list = smt.get_net_bin_list(topmod, path_list, "s%d" % i) mem_state = sorted( [(tuple(path), addr, data) - for path, addr, data in mem_trace_data.get(i, [])] - ) + for path, addr, data in mem_trace_data.get(i, [])]) state = tuple(value_list), tuple(mem_state) if states.get(state): return (i, states[state]) From 083ca6ab066d01aa33156860bb4e29efe547f834 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Emil=20Ji=C5=99=C3=AD=20Tywoniak?= Date: Tue, 18 Oct 2022 22:58:54 +0200 Subject: [PATCH 004/159] bugfix --- backends/smt2/smtbmc.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/backends/smt2/smtbmc.py b/backends/smt2/smtbmc.py index c25f529b9..cc108d52b 100644 --- a/backends/smt2/smtbmc.py +++ b/backends/smt2/smtbmc.py @@ -993,7 +993,7 @@ def detect_state_loop(steps_start, steps_stop): [(tuple(path), addr, data) for path, addr, data in mem_trace_data.get(i, [])]) state = tuple(value_list), tuple(mem_state) - if states.get(state): + if state in states: return (i, states[state]) else: states[state] = i From 2ba435b6bc0a5f0f831c331abdf8d58e0fdae132 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Emil=20Ji=C5=99=C3=AD=20Tywoniak?= Date: Thu, 20 Oct 2022 19:31:16 +0200 Subject: [PATCH 005/159] bugfix for mathsat counterexample vcd dump --- backends/smt2/smtio.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/backends/smt2/smtio.py b/backends/smt2/smtio.py index 9af454cca..5cd1a74fb 100644 --- a/backends/smt2/smtio.py +++ b/backends/smt2/smtio.py @@ -917,7 +917,7 @@ class SmtIo: if len(expr_list) == 0: return [] self.write("(get-value (%s))" % " ".join(expr_list)) - return [n[1] for n in self.parse(self.read())] + return [n[1] for n in self.parse(self.read()) if n] def get_path(self, mod, path): assert mod in self.modinfo From f50e8a3c1baaff8cb3c6055a2b18caab8ce904e3 Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Thu, 21 Dec 2023 21:44:02 +0300 Subject: [PATCH 006/159] Follow the XDG Base Directory Specification --- kernel/driver.cc | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index c779611e0..c1995e7f7 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -244,7 +244,11 @@ int main(int argc, char **argv) bool mode_q = false; #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - if (getenv("HOME") != NULL) { + if (getenv("XDG_DATA_HOME") != NULL && getenv("XDG_DATA_HOME")[0] != '\0') { + yosys_history_file = stringf("%s/yosys/.yosys_history", getenv("XDG_DATA_HOME")); + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); + } else if (getenv("HOME") != NULL) { yosys_history_file = stringf("%s/.yosys_history", getenv("HOME")); read_history(yosys_history_file.c_str()); yosys_history_offset = where_history(); From 31b45c9555752070c7a8909ade46a95093979188 Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Sun, 7 Jan 2024 14:17:48 +0300 Subject: [PATCH 007/159] fix: xdg spec for hist --- kernel/driver.cc | 14 ++++++-------- 1 file changed, 6 insertions(+), 8 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index c1995e7f7..eda90745a 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -244,15 +244,13 @@ int main(int argc, char **argv) bool mode_q = false; #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - if (getenv("XDG_DATA_HOME") != NULL && getenv("XDG_DATA_HOME")[0] != '\0') { - yosys_history_file = stringf("%s/yosys/.yosys_history", getenv("XDG_DATA_HOME")); - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); - } else if (getenv("HOME") != NULL) { - yosys_history_file = stringf("%s/.yosys_history", getenv("HOME")); - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); + if (getenv("XDG_STATE_HOME") != NULL && getenv("XDG_STATE_HOME")[0] != '\0') { + yosys_history_file = stringf("%s/.local/state/.yosys_history", getenv("HOME")); + } else { + yosys_history_file = stringf("%s/.yosys_history", getenv("XDG_STATE_HOME")); } + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); #endif if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help"))) From 54c3b63d241d9da6acfdcb4bcd1a1bb2387090eb Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Sun, 7 Jan 2024 14:34:27 +0300 Subject: [PATCH 008/159] fix: third time is the charm --- kernel/driver.cc | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index eda90745a..503899d30 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -244,8 +244,10 @@ int main(int argc, char **argv) bool mode_q = false; #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - if (getenv("XDG_STATE_HOME") != NULL && getenv("XDG_STATE_HOME")[0] != '\0') { - yosys_history_file = stringf("%s/.local/state/.yosys_history", getenv("HOME")); + if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { + if (getenv("HOME") != NULL) { + yosys_history_file = stringf("%s/.local/state/.yosys_history", getenv("HOME")); + } } else { yosys_history_file = stringf("%s/.yosys_history", getenv("XDG_STATE_HOME")); } From e093f57c1075104df8135df1c04f4d134d5a7db6 Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Mon, 8 Jan 2024 08:49:04 +0300 Subject: [PATCH 009/159] fix: fail if neither HOME nor XDG_STATE_HOME are set --- kernel/driver.cc | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index 503899d30..3a5e49cb4 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -247,12 +247,14 @@ int main(int argc, char **argv) if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { if (getenv("HOME") != NULL) { yosys_history_file = stringf("%s/.local/state/.yosys_history", getenv("HOME")); + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); } } else { yosys_history_file = stringf("%s/.yosys_history", getenv("XDG_STATE_HOME")); + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); } - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); #endif if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help"))) From ac6fcb2547085d041a432a3182a3bfb2c3d2e6ea Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Fri, 19 Jan 2024 15:36:14 +0100 Subject: [PATCH 010/159] write_aiger: Detect and error out on combinational loops Without this it will overflow the stack when loops are present. --- backends/aiger/aiger.cc | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) diff --git a/backends/aiger/aiger.cc b/backends/aiger/aiger.cc index bb804f230..f77a64978 100644 --- a/backends/aiger/aiger.cc +++ b/backends/aiger/aiger.cc @@ -54,6 +54,8 @@ struct AigerWriter vector> aig_gates; vector aig_latchin, aig_latchinit, aig_outputs; + vector bit2aig_stack; + size_t next_loop_check = 1024; int aig_m = 0, aig_i = 0, aig_l = 0, aig_o = 0, aig_a = 0; int aig_b = 0, aig_c = 0, aig_j = 0, aig_f = 0; @@ -81,6 +83,23 @@ struct AigerWriter return it->second; } + if (bit2aig_stack.size() == next_loop_check) { + for (size_t i = 0; i < next_loop_check; ++i) + { + SigBit report_bit = bit2aig_stack[i]; + if (report_bit != bit) + continue; + for (size_t j = i; j < next_loop_check; ++j) { + report_bit = bit2aig_stack[j]; + if (report_bit.is_wire() && report_bit.wire->name.isPublic()) + break; + } + log_error("Found combinational logic loop while processing signal %s.\n", log_signal(report_bit)); + } + next_loop_check *= 2; + } + bit2aig_stack.push_back(bit); + // NB: Cannot use iterator returned from aig_map.insert() // since this function is called recursively @@ -101,6 +120,8 @@ struct AigerWriter a = initstate_ff; } + bit2aig_stack.pop_back(); + if (bit == State::Sx || bit == State::Sz) log_error("Design contains 'x' or 'z' bits. Use 'setundef' to replace those constants.\n"); From b11449badbd29b0a28fb972271e31897d81e158d Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Fri, 19 Jan 2024 16:30:35 +0100 Subject: [PATCH 011/159] Make small build links, and support verific small build --- Makefile | 11 +++++++---- 1 file changed, 7 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index f2af053be..a3cc2446f 100644 --- a/Makefile +++ b/Makefile @@ -679,12 +679,8 @@ OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o OBJS += libs/sha1/sha1.o -ifneq ($(SMALL),1) - OBJS += libs/json11/json11.o -OBJS += libs/subcircuit/subcircuit.o - OBJS += libs/ezsat/ezsat.o OBJS += libs/ezsat/ezminisat.o @@ -699,6 +695,10 @@ OBJS += libs/fst/fastlz.o OBJS += libs/fst/lz4.o endif +ifneq ($(SMALL),1) + +OBJS += libs/subcircuit/subcircuit.o + include $(YOSYS_SRC)/frontends/*/Makefile.inc include $(YOSYS_SRC)/passes/*/Makefile.inc include $(YOSYS_SRC)/backends/*/Makefile.inc @@ -707,6 +707,9 @@ include $(YOSYS_SRC)/techlibs/*/Makefile.inc else include $(YOSYS_SRC)/frontends/verilog/Makefile.inc +ifeq ($(ENABLE_VERIFIC),1) +include $(YOSYS_SRC)/frontends/verific/Makefile.inc +endif include $(YOSYS_SRC)/frontends/rtlil/Makefile.inc include $(YOSYS_SRC)/frontends/ast/Makefile.inc include $(YOSYS_SRC)/frontends/blif/Makefile.inc From ddfd867d2998eeba9fe224047fc8ab533432c695 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Tue, 23 Jan 2024 17:22:56 +0100 Subject: [PATCH 012/159] hardcode iverilog version so it works on forkes and in PRs --- .github/workflows/test-linux.yml | 2 +- .github/workflows/test-macos.yml | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index 103c060a2..f90e65f4f 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -86,7 +86,7 @@ jobs: run: | git clone https://github.com/steveicarus/iverilog.git cd iverilog - git checkout ${{ vars.IVERILOG_VERSION }} + git checkout 192b6aec96fde982e6ddcb28b346d5893aa8e874 echo "IVERILOG_GIT=$(git rev-parse HEAD)" >> $GITHUB_ENV - name: Cache iverilog diff --git a/.github/workflows/test-macos.yml b/.github/workflows/test-macos.yml index 62fbc59e8..b70bc4549 100644 --- a/.github/workflows/test-macos.yml +++ b/.github/workflows/test-macos.yml @@ -42,7 +42,7 @@ jobs: run: | git clone https://github.com/steveicarus/iverilog.git cd iverilog - git checkout ${{ vars.IVERILOG_VERSION }} + git checkout 192b6aec96fde982e6ddcb28b346d5893aa8e874 echo "IVERILOG_GIT=$(git rev-parse HEAD)" >> $GITHUB_ENV - name: Cache iverilog From c634d59c182b44d90891502ceb3a367e1c2be00b Mon Sep 17 00:00:00 2001 From: Gabriel Gouvine Date: Tue, 23 Jan 2024 10:47:01 +0000 Subject: [PATCH 013/159] Issue a warning instead of a syntax error for blif delay constraints --- frontends/blif/blifparse.cc | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/frontends/blif/blifparse.cc b/frontends/blif/blifparse.cc index ebbe082a2..731656866 100644 --- a/frontends/blif/blifparse.cc +++ b/frontends/blif/blifparse.cc @@ -256,6 +256,16 @@ void parse_blif(RTLIL::Design *design, std::istream &f, IdString dff_name, bool continue; } + if (!strcmp(cmd, ".area") || !strcmp(cmd, ".delay") || !strcmp(cmd, ".wire_load_slope") || !strcmp(cmd, ".wire") || + !strcmp(cmd, ".input_arrival") || !strcmp(cmd, ".default_input_arrival") || !strcmp(cmd, ".output_required") || + !strcmp(cmd, ".default_output_required") || !strcmp(cmd, ".input_drive") || !strcmp(cmd, ".default_input_drive") || + !strcmp(cmd, ".max_input_load") || !strcmp(cmd, ".default_max_input_load") || !strcmp(cmd, ".output_load") || + !strcmp(cmd, ".default_output_load")) + { + log_warning("Blif delay constraints (%s) are not supported.", cmd); + continue; + } + if (!strcmp(cmd, ".inputs") || !strcmp(cmd, ".outputs")) { char *p; From 3c3788ee287017802c1b77879b5f8e9ceb1be8ec Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 24 Jan 2024 00:16:36 +0000 Subject: [PATCH 014/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 836425847..d1fe4dc99 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+27 +YOSYS_VER := 0.37+29 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From b841d1bcbed73b47d98bc6b1f888a7070cc81c3d Mon Sep 17 00:00:00 2001 From: Catherine Date: Wed, 24 Jan 2024 16:30:01 +0000 Subject: [PATCH 015/159] cxxrtl: fix typo in codegen for async set/clear. --- backends/cxxrtl/cxxrtl_backend.cc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/backends/cxxrtl/cxxrtl_backend.cc b/backends/cxxrtl/cxxrtl_backend.cc index c9c644a52..d2bca3065 100644 --- a/backends/cxxrtl/cxxrtl_backend.cc +++ b/backends/cxxrtl/cxxrtl_backend.cc @@ -1446,7 +1446,7 @@ struct CxxrtlWorker { f << indent; dump_sigspec_lhs(cell->getPort(ID::Q)); f << " = "; - dump_sigspec_lhs(cell->getPort(ID::Q)); + dump_sigspec_rhs(cell->getPort(ID::Q)); f << ".update("; dump_const(RTLIL::Const(RTLIL::S1, cell->getParam(ID::WIDTH).as_int())); f << ", "; @@ -1458,7 +1458,7 @@ struct CxxrtlWorker { f << indent; dump_sigspec_lhs(cell->getPort(ID::Q)); f << " = "; - dump_sigspec_lhs(cell->getPort(ID::Q)); + dump_sigspec_rhs(cell->getPort(ID::Q)); f << ".update("; dump_const(RTLIL::Const(RTLIL::S0, cell->getParam(ID::WIDTH).as_int())); f << ", "; From 9cbfad2691b5956d936ea118e5321ff5daac30c1 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 23 Jan 2024 03:26:58 +0000 Subject: [PATCH 016/159] write_verilog: don't emit code with dangling else related to wrong condition. --- backends/verilog/verilog_backend.cc | 62 +++++++++++++++-------------- 1 file changed, 32 insertions(+), 30 deletions(-) diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 1fa31e31e..47f1c4c2b 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1949,13 +1949,8 @@ void dump_conn(std::ostream &f, std::string indent, const RTLIL::SigSpec &left, void dump_proc_switch(std::ostream &f, std::string indent, RTLIL::SwitchRule *sw); -void dump_case_body(std::ostream &f, std::string indent, RTLIL::CaseRule *cs, bool omit_trailing_begin = false) +void dump_case_actions(std::ostream &f, std::string indent, RTLIL::CaseRule *cs) { - int number_of_stmts = cs->switches.size() + cs->actions.size(); - - if (!omit_trailing_begin && number_of_stmts >= 2) - f << stringf("%s" "begin\n", indent.c_str()); - for (auto it = cs->actions.begin(); it != cs->actions.end(); ++it) { if (it->first.size() == 0) continue; @@ -1965,15 +1960,6 @@ void dump_case_body(std::ostream &f, std::string indent, RTLIL::CaseRule *cs, bo dump_sigspec(f, it->second); f << stringf(";\n"); } - - for (auto it = cs->switches.begin(); it != cs->switches.end(); ++it) - dump_proc_switch(f, indent + " ", *it); - - if (!omit_trailing_begin && number_of_stmts == 0) - f << stringf("%s /* empty */;\n", indent.c_str()); - - if (omit_trailing_begin || number_of_stmts >= 2) - f << stringf("%s" "end\n", indent.c_str()); } bool dump_proc_switch_ifelse(std::ostream &f, std::string indent, RTLIL::SwitchRule *sw) @@ -1996,36 +1982,52 @@ bool dump_proc_switch_ifelse(std::ostream &f, std::string indent, RTLIL::SwitchR } } + dump_attributes(f, indent, sw->attributes); f << indent; auto sig_it = sw->signal.begin(); for (auto it = sw->cases.begin(); it != sw->cases.end(); ++it, ++sig_it) { - bool had_newline = true; if (it != sw->cases.begin()) { - if ((*it)->compare.empty()) { - f << indent << "else\n"; - had_newline = true; - } else { - f << indent << "else "; - had_newline = false; - } + if ((*it)->compare.empty()) + f << " else begin\n"; + else + f << " else "; } if (!(*it)->compare.empty()) { - if (!(*it)->attributes.empty()) { - if (!had_newline) - f << "\n" << indent; - dump_attributes(f, "", (*it)->attributes, "\n" + indent); - } f << stringf("if ("); dump_sigspec(f, *sig_it); - f << stringf(")\n"); + f << stringf(") begin\n"); } - dump_case_body(f, indent, *it); + + dump_case_actions(f, indent, (*it)); + for (auto it2 = (*it)->switches.begin(); it2 != (*it)->switches.end(); ++it2) + dump_proc_switch(f, indent + " ", *it2); + + f << indent << "end"; if ((*it)->compare.empty()) break; } + f << "\n"; return true; } +void dump_case_body(std::ostream &f, std::string indent, RTLIL::CaseRule *cs, bool omit_trailing_begin = false) +{ + int number_of_stmts = cs->switches.size() + cs->actions.size(); + + if (!omit_trailing_begin && number_of_stmts >= 2) + f << stringf("%s" "begin\n", indent.c_str()); + + dump_case_actions(f, indent, cs); + for (auto it = cs->switches.begin(); it != cs->switches.end(); ++it) + dump_proc_switch(f, indent + " ", *it); + + if (!omit_trailing_begin && number_of_stmts == 0) + f << stringf("%s /* empty */;\n", indent.c_str()); + + if (omit_trailing_begin || number_of_stmts >= 2) + f << stringf("%s" "end\n", indent.c_str()); +} + void dump_proc_switch(std::ostream &f, std::string indent, RTLIL::SwitchRule *sw) { if (sw->signal.size() == 0) { From 08fd47e97017c2e5f0dcbbd6c31377362e0b0a81 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Wed, 24 Jan 2024 16:46:44 +0100 Subject: [PATCH 017/159] Test roundtripping some processes to Verilog and back --- tests/verilog/roundtrip_proc.ys | 65 +++++++++++++++++++++++++++++++++ 1 file changed, 65 insertions(+) create mode 100644 tests/verilog/roundtrip_proc.ys diff --git a/tests/verilog/roundtrip_proc.ys b/tests/verilog/roundtrip_proc.ys new file mode 100644 index 000000000..7a57ed310 --- /dev/null +++ b/tests/verilog/roundtrip_proc.ys @@ -0,0 +1,65 @@ +# Test "casez to if/elif/else conversion" in backend + +read_verilog < Date: Thu, 25 Jan 2024 00:16:42 +0000 Subject: [PATCH 018/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index d1fe4dc99..04b2acef7 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+29 +YOSYS_VER := 0.37+34 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From efe4d6dbdc7366ee6e90df307bcc401a79af787b Mon Sep 17 00:00:00 2001 From: "N. Engelhardt" Date: Thu, 25 Jan 2024 12:28:17 +0100 Subject: [PATCH 019/159] SigSpec/SigChunk::extract(): assert offset/length are not out of range --- kernel/rtlil.cc | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/kernel/rtlil.cc b/kernel/rtlil.cc index ffbd4b3ff..e065314dd 100644 --- a/kernel/rtlil.cc +++ b/kernel/rtlil.cc @@ -3693,6 +3693,9 @@ RTLIL::SigChunk::SigChunk(const RTLIL::SigBit &bit) RTLIL::SigChunk RTLIL::SigChunk::extract(int offset, int length) const { + log_assert(offset >= 0); + log_assert(length >= 0); + log_assert(offset + length <= width); RTLIL::SigChunk ret; if (wire) { ret.wire = wire; @@ -4377,6 +4380,9 @@ void RTLIL::SigSpec::remove(int offset, int length) RTLIL::SigSpec RTLIL::SigSpec::extract(int offset, int length) const { + log_assert(offset >= 0); + log_assert(length >= 0); + log_assert(offset + length <= width_); unpack(); cover("kernel.rtlil.sigspec.extract_pos"); return std::vector(bits_.begin() + offset, bits_.begin() + offset + length); From 7c818d30f73c9d6820587781189a4f3990c0c55d Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 25 Jan 2024 16:08:52 +0100 Subject: [PATCH 020/159] sim: Bring $print trigger/sampling semantics in line with FFs --- passes/sat/sim.cc | 66 +++++++++++++++++++++++++---------------------- 1 file changed, 35 insertions(+), 31 deletions(-) diff --git a/passes/sat/sim.cc b/passes/sat/sim.cc index 542eb5c18..aeed16b97 100644 --- a/passes/sat/sim.cc +++ b/passes/sat/sim.cc @@ -813,7 +813,7 @@ struct SimInstance } } - void update_ph3(bool check_assertions) + void update_ph3(bool gclk_trigger) { for (auto &it : ff_database) { @@ -858,49 +858,53 @@ struct SimInstance Const en = get_state(cell->getPort(ID::EN)); Const args = get_state(cell->getPort(ID::ARGS)); - if (!en.as_bool()) - goto update_print; + bool sampled = trg_en && trg.size() > 0; - if (trg.size() > 0 && trg_en) { - Const trg_pol = cell->getParam(ID::TRG_POLARITY); - for (int i = 0; i < trg.size(); i++) { - bool pol = trg_pol[i] == State::S1; - State curr = trg[i], past = print.past_trg[i]; - if (pol && curr == State::S1 && past == State::S0) + if (sampled ? print.past_en.as_bool() : en.as_bool()) { + if (sampled) { + sampled = true; + Const trg_pol = cell->getParam(ID::TRG_POLARITY); + for (int i = 0; i < trg.size(); i++) { + bool pol = trg_pol[i] == State::S1; + State curr = trg[i], past = print.past_trg[i]; + if (pol && curr == State::S1 && past == State::S0) + triggered = true; + if (!pol && curr == State::S0 && past == State::S1) + triggered = true; + } + } else if (trg_en) { + // initial $print (TRG width = 0, TRG_ENABLE = true) + if (!print.initial_done && en != print.past_en) triggered = true; - if (!pol && curr == State::S0 && past == State::S1) + } else if (cell->get_bool_attribute(ID(trg_on_gclk))) { + // unified $print for cycle based FV semantics + triggered = gclk_trigger; + } else { + // always @(*) $print + if (args != print.past_args || en != print.past_en) triggered = true; } - } else if (trg_en) { - // initial $print (TRG width = 0, TRG_ENABLE = true) - if (!print.initial_done && en != print.past_en) - triggered = true; - } else { - // always @(*) $print - if (args != print.past_args || en != print.past_en) - triggered = true; - } - if (triggered) { - int pos = 0; - for (auto &part : print.fmt.parts) { - part.sig = args.extract(pos, part.sig.size()); - pos += part.sig.size(); + if (triggered) { + int pos = 0; + for (auto &part : print.fmt.parts) { + part.sig = (sampled ? print.past_args : args).extract(pos, part.sig.size()); + pos += part.sig.size(); + } + + std::string rendered = print.fmt.render(); + log("%s", rendered.c_str()); + shared->display_output.emplace_back(shared->step, this, cell, rendered); } - - std::string rendered = print.fmt.render(); - log("%s", rendered.c_str()); - shared->display_output.emplace_back(shared->step, this, cell, rendered); } - update_print: print.past_trg = trg; print.past_en = en; print.past_args = args; print.initial_done = true; } - if (check_assertions) + if (gclk_trigger) { for (auto cell : formal_database) { @@ -932,7 +936,7 @@ struct SimInstance } for (auto it : children) - it.second->update_ph3(check_assertions); + it.second->update_ph3(gclk_trigger); } void set_initstate_outputs(State state) From d2a04cca0e422ad73efe7364b395db07e7eedd28 Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Thu, 25 Jan 2024 17:00:05 +0000 Subject: [PATCH 021/159] write_verilog: Making sure BUF cells are converted to expressions. These were previously not being converted correctly leading to yosys internal cells being written to my netlist. Signed-off-by: Ethan Mahintorabi --- backends/verilog/verilog_backend.cc | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 47f1c4c2b..8febf0a96 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1053,6 +1053,16 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) f << stringf(";\n"); return true; } + + if (cell->type == ID($_BUF_)) { + f << stringf("%s" "assign ", indent.c_str()); + dump_sigspec(f, cell->getPort(ID::Y)); + f << stringf(" = "); + dump_attributes(f, "", cell->attributes, ' '); + dump_cell_expr_port(f, cell, "A", false); + f << stringf(";\n"); + return true; + } if (cell->type.in(ID($_AND_), ID($_NAND_), ID($_OR_), ID($_NOR_), ID($_XOR_), ID($_XNOR_), ID($_ANDNOT_), ID($_ORNOT_))) { f << stringf("%s" "assign ", indent.c_str()); From 33fe2e46138f956827bbd057b8df6ed7af57841c Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Thu, 25 Jan 2024 17:39:18 +0000 Subject: [PATCH 022/159] fixes char* to string conversion issue Signed-off-by: Ethan Mahintorabi --- backends/verilog/verilog_backend.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 8febf0a96..51ea14bf4 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1058,7 +1058,7 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) f << stringf("%s" "assign ", indent.c_str()); dump_sigspec(f, cell->getPort(ID::Y)); f << stringf(" = "); - dump_attributes(f, "", cell->attributes, ' '); + dump_attributes(f, "", cell->attributes, " "); dump_cell_expr_port(f, cell, "A", false); f << stringf(";\n"); return true; From 68a9aa7c29b72543552af9795310cc598a7975d6 Mon Sep 17 00:00:00 2001 From: Philippe Sauter Date: Fri, 26 Jan 2024 11:44:44 +0100 Subject: [PATCH 023/159] peepopt: handle offset too large in `shiftadd` If the offset is larger than the signal itself, meaning the signal is completely shifted out, it tried to extract a negative amount of bits from the old signal. This RTL pattern is suspicious since it is a complicated way of arriving at a constant value, so we warn the user. --- passes/pmgen/peepopt_shiftadd.pmg | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/passes/pmgen/peepopt_shiftadd.pmg b/passes/pmgen/peepopt_shiftadd.pmg index f9c930eae..6f2dd89af 100644 --- a/passes/pmgen/peepopt_shiftadd.pmg +++ b/passes/pmgen/peepopt_shiftadd.pmg @@ -103,8 +103,18 @@ code new_a.append(old_a); } else { // data >> (...+c) transformed to data[MAX:c] >> (...) - new_a.append(old_a.extract_end(offset)); + if(offset < GetSize(old_a)) // some signal bits left? + new_a.append(old_a.extract_end(offset)); + else { + if(shift->type.in($shiftx)) + log_warning("at %s: result of indexed part-selection is always constant (selecting from '%s' with index '%s + %d')\n", \ + shift->get_src_attribute().c_str(), log_signal(old_a), log_signal(var_signal), offset); + else + log_warning("at %s: result of shift operation is always constant (shifting '%s' by '%s + %d'-bits)\n", \ + shift->get_src_attribute().c_str(), log_signal(old_a), log_signal(var_signal), offset); + } + // is it fine to leave new_a empty? (size 0) } SigSpec new_b = {var_signal, SigSpec(State::S0, log2scale)}; From 7e524e05885539a20f191ad3f15287c50f98c4e2 Mon Sep 17 00:00:00 2001 From: Krystine Sherwin <93062060+KrystalDelusion@users.noreply.github.com> Date: Sat, 27 Jan 2024 11:20:48 +1300 Subject: [PATCH 024/159] Update workflows to Node.js 20 Node.js 16 actions are deprecated. For more information see: https://github.blog/changelog/2023-09-22-github-actions-transitioning-from-node-16-to-node-20/. --- .github/workflows/codeql.yml | 6 +++--- .github/workflows/emcc.yml | 6 +++--- .github/workflows/test-linux.yml | 4 ++-- .github/workflows/test-macos.yml | 4 ++-- .github/workflows/version.yml | 2 +- .github/workflows/vs.yml | 6 +++--- .github/workflows/wasi.yml | 2 +- 7 files changed, 15 insertions(+), 15 deletions(-) diff --git a/.github/workflows/codeql.yml b/.github/workflows/codeql.yml index 2a046703b..4a77d2234 100644 --- a/.github/workflows/codeql.yml +++ b/.github/workflows/codeql.yml @@ -14,10 +14,10 @@ jobs: run: sudo apt-get install bison flex libreadline-dev tcl-dev libffi-dev - name: Checkout repository - uses: actions/checkout@v3 + uses: actions/checkout@v4 - name: Initialize CodeQL - uses: github/codeql-action/init@v2 + uses: github/codeql-action/init@v3 with: languages: cpp queries: security-extended,security-and-quality @@ -26,4 +26,4 @@ jobs: run: make yosys -j6 - name: Perform CodeQL Analysis - uses: github/codeql-action/analyze@v2 + uses: github/codeql-action/analyze@v3 diff --git a/.github/workflows/emcc.yml b/.github/workflows/emcc.yml index 295d9554b..7c6409c1b 100644 --- a/.github/workflows/emcc.yml +++ b/.github/workflows/emcc.yml @@ -6,13 +6,13 @@ jobs: emcc: runs-on: ubuntu-latest steps: - - uses: mymindstorm/setup-emsdk@v11 - - uses: actions/checkout@v3 + - uses: mymindstorm/setup-emsdk@v14 + - uses: actions/checkout@v4 - name: Build run: | make config-emcc make YOSYS_VER=latest - - uses: actions/upload-artifact@v3 + - uses: actions/upload-artifact@v4 with: name: yosysjs path: yosysjs-latest.zip diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index f90e65f4f..5d929f581 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -79,7 +79,7 @@ jobs: $CXX --version - name: Checkout Yosys - uses: actions/checkout@v3 + uses: actions/checkout@v4 - name: Get iverilog shell: bash @@ -91,7 +91,7 @@ jobs: - name: Cache iverilog id: cache-iverilog - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: .local/ key: ${{ matrix.os.id }}-${{ env.IVERILOG_GIT }} diff --git a/.github/workflows/test-macos.yml b/.github/workflows/test-macos.yml index b70bc4549..17e2ae331 100644 --- a/.github/workflows/test-macos.yml +++ b/.github/workflows/test-macos.yml @@ -35,7 +35,7 @@ jobs: cc --version - name: Checkout Yosys - uses: actions/checkout@v3 + uses: actions/checkout@v4 - name: Get iverilog shell: bash @@ -47,7 +47,7 @@ jobs: - name: Cache iverilog id: cache-iverilog - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: .local/ key: ${{ matrix.os.id }}-${{ env.IVERILOG_GIT }} diff --git a/.github/workflows/version.yml b/.github/workflows/version.yml index c2a1756e9..47a7fe1a3 100644 --- a/.github/workflows/version.yml +++ b/.github/workflows/version.yml @@ -10,7 +10,7 @@ jobs: runs-on: ubuntu-latest steps: - name: Checkout - uses: actions/checkout@v3 + uses: actions/checkout@v4 with: fetch-depth: 0 - name: Take last commit diff --git a/.github/workflows/vs.yml b/.github/workflows/vs.yml index 428770e72..799c5f259 100644 --- a/.github/workflows/vs.yml +++ b/.github/workflows/vs.yml @@ -6,10 +6,10 @@ jobs: yosys-vcxsrc: runs-on: ubuntu-latest steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 - name: Build run: make vcxsrc YOSYS_VER=latest - - uses: actions/upload-artifact@v3 + - uses: actions/upload-artifact@v4 with: name: vcxsrc path: yosys-win32-vcxsrc-latest.zip @@ -18,7 +18,7 @@ jobs: runs-on: windows-2019 needs: yosys-vcxsrc steps: - - uses: actions/download-artifact@v3 + - uses: actions/download-artifact@v4 with: name: vcxsrc path: . diff --git a/.github/workflows/wasi.yml b/.github/workflows/wasi.yml index 74900c388..d6d200d92 100644 --- a/.github/workflows/wasi.yml +++ b/.github/workflows/wasi.yml @@ -6,7 +6,7 @@ jobs: wasi: runs-on: ubuntu-latest steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 - name: Build run: | WASI_SDK=wasi-sdk-19.0 From a5fdf3f881cac408e6c8ce061469dfeae8562c62 Mon Sep 17 00:00:00 2001 From: YRabbit Date: Sat, 27 Jan 2024 17:19:49 +1000 Subject: [PATCH 025/159] gowin: Change BYTE ENABLE handling. When inferring we allow writing to all bytes for now. Signed-off-by: YRabbit --- techlibs/gowin/brams.txt | 6 ------ techlibs/gowin/brams_map.v | 36 +++++++++++------------------------- 2 files changed, 11 insertions(+), 31 deletions(-) diff --git a/techlibs/gowin/brams.txt b/techlibs/gowin/brams.txt index 0c0d8fa3e..435d3b5cf 100644 --- a/techlibs/gowin/brams.txt +++ b/techlibs/gowin/brams.txt @@ -1,13 +1,11 @@ ram block $__GOWIN_SP_ { abits 14; widths 1 2 4 9 18 36 per_port; - byte 9; cost 128; init no_undef; port srsw "A" { clock posedge; clken; - wrbe_separate; option "RESET_MODE" "SYNC" { rdsrst zero ungated; } @@ -30,13 +28,11 @@ ram block $__GOWIN_SP_ { ram block $__GOWIN_DP_ { abits 14; widths 1 2 4 9 18 per_port; - byte 9; cost 128; init no_undef; port srsw "A" "B" { clock posedge; clken; - wrbe_separate; option "RESET_MODE" "SYNC" { rdsrst zero ungated; } @@ -59,7 +55,6 @@ ram block $__GOWIN_DP_ { ram block $__GOWIN_SDP_ { abits 14; widths 1 2 4 9 18 36 per_port; - byte 9; cost 128; init no_undef; port sr "R" { @@ -76,6 +71,5 @@ ram block $__GOWIN_SDP_ { port sw "W" { clock posedge; clken; - wrbe_separate; } } diff --git a/techlibs/gowin/brams_map.v b/techlibs/gowin/brams_map.v index 5e1299c28..d536cd636 100644 --- a/techlibs/gowin/brams_map.v +++ b/techlibs/gowin/brams_map.v @@ -14,8 +14,7 @@ `define x8_width(width) (width / 9 * 8 + width % 9) `define x8_rd_data(data) {1'bx, data[31:24], 1'bx, data[23:16], 1'bx, data[15:8], 1'bx, data[7:0]} `define x8_wr_data(data) {data[34:27], data[25:18], data[16:9], data[7:0]} -`define wre(width, wr_en, wr_be) (width < 18 ? wr_en | wr_be[0] : wr_en) -`define addrbe(width, addr, wr_be) (width < 18 ? addr : {addr[13:4], wr_be}) +`define addrbe_always(width, addr) (width < 18 ? addr : width == 18 ? {addr[13:4], 4'b0011} : {addr[13:5], 5'b01111}) `define INIT(func) \ @@ -90,7 +89,6 @@ parameter INIT = 0; parameter OPTION_RESET_MODE = "SYNC"; parameter PORT_A_WIDTH = 36; -parameter PORT_A_WR_BE_WIDTH = 4; parameter PORT_A_OPTION_WRITE_MODE = 0; input PORT_A_CLK; @@ -99,15 +97,13 @@ input PORT_A_WR_EN; input PORT_A_RD_SRST; input PORT_A_RD_ARST; input [13:0] PORT_A_ADDR; -input [PORT_A_WR_BE_WIDTH-1:0] PORT_A_WR_BE; input [PORT_A_WIDTH-1:0] PORT_A_WR_DATA; output [PORT_A_WIDTH-1:0] PORT_A_RD_DATA; `DEF_FUNCS wire RST = OPTION_RESET_MODE == "SYNC" ? PORT_A_RD_SRST : PORT_A_RD_ARST; -wire WRE = `wre(PORT_A_WIDTH, PORT_A_WR_EN, PORT_A_WR_BE); -wire [13:0] AD = `addrbe(PORT_A_WIDTH, PORT_A_ADDR, PORT_A_WR_BE); +wire [13:0] AD = `addrbe_always(PORT_A_WIDTH, PORT_A_ADDR); generate @@ -129,7 +125,7 @@ if (PORT_A_WIDTH < 9) begin .BLKSEL(3'b000), .CLK(PORT_A_CLK), .CE(PORT_A_CLK_EN), - .WRE(WRE), + .WRE(PORT_A_WR_EN), .RESET(RST), .OCE(1'b1), .AD(AD), @@ -155,7 +151,7 @@ end else begin .BLKSEL(3'b000), .CLK(PORT_A_CLK), .CE(PORT_A_CLK_EN), - .WRE(WRE), + .WRE(PORT_A_WR_EN), .RESET(RST), .OCE(1'b1), .AD(AD), @@ -176,11 +172,9 @@ parameter INIT = 0; parameter OPTION_RESET_MODE = "SYNC"; parameter PORT_A_WIDTH = 18; -parameter PORT_A_WR_BE_WIDTH = 2; parameter PORT_A_OPTION_WRITE_MODE = 0; parameter PORT_B_WIDTH = 18; -parameter PORT_B_WR_BE_WIDTH = 2; parameter PORT_B_OPTION_WRITE_MODE = 0; input PORT_A_CLK; @@ -189,7 +183,6 @@ input PORT_A_WR_EN; input PORT_A_RD_SRST; input PORT_A_RD_ARST; input [13:0] PORT_A_ADDR; -input [PORT_A_WR_BE_WIDTH-1:0] PORT_A_WR_BE; input [PORT_A_WIDTH-1:0] PORT_A_WR_DATA; output [PORT_A_WIDTH-1:0] PORT_A_RD_DATA; @@ -199,7 +192,6 @@ input PORT_B_WR_EN; input PORT_B_RD_SRST; input PORT_B_RD_ARST; input [13:0] PORT_B_ADDR; -input [PORT_A_WR_BE_WIDTH-1:0] PORT_B_WR_BE; input [PORT_A_WIDTH-1:0] PORT_B_WR_DATA; output [PORT_A_WIDTH-1:0] PORT_B_RD_DATA; @@ -207,10 +199,8 @@ output [PORT_A_WIDTH-1:0] PORT_B_RD_DATA; wire RSTA = OPTION_RESET_MODE == "SYNC" ? PORT_A_RD_SRST : PORT_A_RD_ARST; wire RSTB = OPTION_RESET_MODE == "SYNC" ? PORT_B_RD_SRST : PORT_B_RD_ARST; -wire WREA = `wre(PORT_A_WIDTH, PORT_A_WR_EN, PORT_A_WR_BE); -wire WREB = `wre(PORT_B_WIDTH, PORT_B_WR_EN, PORT_B_WR_BE); -wire [13:0] ADA = `addrbe(PORT_A_WIDTH, PORT_A_ADDR, PORT_A_WR_BE); -wire [13:0] ADB = `addrbe(PORT_B_WIDTH, PORT_B_ADDR, PORT_B_WR_BE); +wire [13:0] ADA = `addrbe_always(PORT_A_WIDTH, PORT_A_ADDR); +wire [13:0] ADB = `addrbe_always(PORT_B_WIDTH, PORT_B_ADDR); generate @@ -241,7 +231,7 @@ if (PORT_A_WIDTH < 9 || PORT_B_WIDTH < 9) begin .CLKA(PORT_A_CLK), .CEA(PORT_A_CLK_EN), - .WREA(WREA), + .WREA(PORT_A_WR_EN), .RESETA(RSTA), .OCEA(1'b1), .ADA(ADA), @@ -250,7 +240,7 @@ if (PORT_A_WIDTH < 9 || PORT_B_WIDTH < 9) begin .CLKB(PORT_B_CLK), .CEB(PORT_B_CLK_EN), - .WREB(WREB), + .WREB(PORT_B_WR_EN), .RESETB(RSTB), .OCEB(1'b1), .ADB(ADB), @@ -285,7 +275,7 @@ end else begin .CLKA(PORT_A_CLK), .CEA(PORT_A_CLK_EN), - .WREA(WREA), + .WREA(PORT_A_WR_EN), .RESETA(RSTA), .OCEA(1'b1), .ADA(ADA), @@ -294,7 +284,7 @@ end else begin .CLKB(PORT_B_CLK), .CEB(PORT_B_CLK_EN), - .WREB(WREB), + .WREB(PORT_B_WR_EN), .RESETB(RSTB), .OCEB(1'b1), .ADB(ADB), @@ -315,9 +305,7 @@ parameter INIT = 0; parameter OPTION_RESET_MODE = "SYNC"; parameter PORT_R_WIDTH = 18; - parameter PORT_W_WIDTH = 18; -parameter PORT_W_WR_BE_WIDTH = 2; input PORT_R_CLK; input PORT_R_CLK_EN; @@ -330,14 +318,12 @@ input PORT_W_CLK; input PORT_W_CLK_EN; input PORT_W_WR_EN; input [13:0] PORT_W_ADDR; -input [PORT_W_WR_BE_WIDTH-1:0] PORT_W_WR_BE; input [PORT_W_WIDTH-1:0] PORT_W_WR_DATA; `DEF_FUNCS wire RST = OPTION_RESET_MODE == "SYNC" ? PORT_R_RD_SRST : PORT_R_RD_ARST; -wire WRE = `wre(PORT_W_WIDTH, PORT_W_WR_EN, PORT_W_WR_BE); -wire [13:0] ADW = `addrbe(PORT_W_WIDTH, PORT_W_ADDR, PORT_W_WR_BE); +wire [13:0] ADW = `addrbe_always(PORT_W_WIDTH, PORT_W_ADDR); generate From 4585d60b8aae42c4cedf43a22d649e4d7fe823ff Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sun, 28 Jan 2024 00:17:09 +0000 Subject: [PATCH 026/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 04b2acef7..b83e541c7 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+34 +YOSYS_VER := 0.37+39 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From d6600fb1d508fe7526b4157b8e5e66baaff367ae Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Mon, 8 Jan 2024 14:06:38 +0100 Subject: [PATCH 027/159] rtlil: Fix handling of connections on wire deletion --- kernel/rtlil.cc | 45 ++++++++++++++++++++++++++++++++++----------- kernel/rtlil.h | 1 + 2 files changed, 35 insertions(+), 11 deletions(-) diff --git a/kernel/rtlil.cc b/kernel/rtlil.cc index ffbd4b3ff..badb72f2b 100644 --- a/kernel/rtlil.cc +++ b/kernel/rtlil.cc @@ -2157,17 +2157,12 @@ void RTLIL::Module::remove(const pool &wires) } void operator()(RTLIL::SigSpec &lhs, RTLIL::SigSpec &rhs) { - log_assert(GetSize(lhs) == GetSize(rhs)); - lhs.unpack(); - rhs.unpack(); - for (int i = 0; i < GetSize(lhs); i++) { - RTLIL::SigBit &lhs_bit = lhs.bits_[i]; - RTLIL::SigBit &rhs_bit = rhs.bits_[i]; - if ((lhs_bit.wire != nullptr && wires_p->count(lhs_bit.wire)) || (rhs_bit.wire != nullptr && wires_p->count(rhs_bit.wire))) { - lhs_bit = State::Sx; - rhs_bit = State::Sx; - } - } + // When a deleted wire occurs on the lhs we can just remove that part + // of the assignment + lhs.remove2(*wires_p, &rhs); + + // Then replace all rhs occurrences with a dummy wire + (*this)(rhs); } }; @@ -4238,6 +4233,34 @@ void RTLIL::SigSpec::remove2(const std::set &pattern, RTLIL::SigS check(); } +void RTLIL::SigSpec::remove2(const pool &pattern, RTLIL::SigSpec *other) +{ + if (other) + cover("kernel.rtlil.sigspec.remove_other"); + else + cover("kernel.rtlil.sigspec.remove"); + + unpack(); + + if (other != NULL) { + log_assert(width_ == other->width_); + other->unpack(); + } + + for (int i = GetSize(bits_) - 1; i >= 0; i--) { + if (bits_[i].wire != NULL && pattern.count(bits_[i].wire)) { + bits_.erase(bits_.begin() + i); + width_--; + if (other != NULL) { + other->bits_.erase(other->bits_.begin() + i); + other->width_--; + } + } + } + + check(); +} + RTLIL::SigSpec RTLIL::SigSpec::extract(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec *other) const { if (other) diff --git a/kernel/rtlil.h b/kernel/rtlil.h index d419872c6..928bc0440 100644 --- a/kernel/rtlil.h +++ b/kernel/rtlil.h @@ -924,6 +924,7 @@ public: void remove(const pool &pattern, RTLIL::SigSpec *other) const; void remove2(const pool &pattern, RTLIL::SigSpec *other); void remove2(const std::set &pattern, RTLIL::SigSpec *other); + void remove2(const pool &pattern, RTLIL::SigSpec *other); void remove(int offset, int length = 1); void remove_const(); From c035289383d6151d508519777e110e7fff2d12c2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Tue, 9 Jan 2024 17:25:31 +0100 Subject: [PATCH 028/159] rtlil: Do not create dummy wires when deleting wires in connections --- kernel/rtlil.cc | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/kernel/rtlil.cc b/kernel/rtlil.cc index badb72f2b..475988256 100644 --- a/kernel/rtlil.cc +++ b/kernel/rtlil.cc @@ -2157,12 +2157,10 @@ void RTLIL::Module::remove(const pool &wires) } void operator()(RTLIL::SigSpec &lhs, RTLIL::SigSpec &rhs) { - // When a deleted wire occurs on the lhs we can just remove that part + // If a deleted wire occurs on the lhs or rhs we just remove that part // of the assignment lhs.remove2(*wires_p, &rhs); - - // Then replace all rhs occurrences with a dummy wire - (*this)(rhs); + rhs.remove2(*wires_p, &lhs); } }; From ea3dc7c1b4f8446693edb4c5918a6d9e0366a595 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Mon, 22 Jan 2024 14:42:45 +0100 Subject: [PATCH 029/159] rtlil: Add wire deletion test --- tests/various/bug4082.ys | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100644 tests/various/bug4082.ys diff --git a/tests/various/bug4082.ys b/tests/various/bug4082.ys new file mode 100644 index 000000000..272be2fad --- /dev/null +++ b/tests/various/bug4082.ys @@ -0,0 +1,8 @@ +read_verilog < Date: Tue, 9 Jan 2024 11:52:41 +0100 Subject: [PATCH 030/159] opt_clean: Add commentary around wire cleaning, NFC --- passes/opt/opt_clean.cc | 46 +++++++++++++++++++++++++++++------------ 1 file changed, 33 insertions(+), 13 deletions(-) diff --git a/passes/opt/opt_clean.cc b/passes/opt/opt_clean.cc index a219e4708..c9d85028e 100644 --- a/passes/opt/opt_clean.cc +++ b/passes/opt/opt_clean.cc @@ -240,6 +240,7 @@ int count_nontrivial_wire_attrs(RTLIL::Wire *w) return count; } +// Should we pick `s2` over `s1` to represent a signal? bool compare_signals(RTLIL::SigBit &s1, RTLIL::SigBit &s2, SigPool ®s, SigPool &conns, pool &direct_wires) { RTLIL::Wire *w1 = s1.wire; @@ -292,9 +293,10 @@ bool check_public_name(RTLIL::IdString id) bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbose) { + // `register_signals` and `connected_signals` will help us decide later on + // on picking representatives out of groups of connected signals SigPool register_signals; SigPool connected_signals; - if (!purge_mode) for (auto &it : module->cells_) { RTLIL::Cell *cell = it.second; @@ -309,20 +311,27 @@ bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos } SigMap assign_map(module); - pool direct_sigs; + + // construct a pool of wires which are directly driven by a known celltype, + // this will influence our choice of representatives pool direct_wires; - for (auto &it : module->cells_) { - RTLIL::Cell *cell = it.second; - if (ct_all.cell_known(cell->type)) - for (auto &it2 : cell->connections()) - if (ct_all.cell_output(cell->type, it2.first)) - direct_sigs.insert(assign_map(it2.second)); - } - for (auto &it : module->wires_) { - if (direct_sigs.count(assign_map(it.second)) || it.second->port_input) - direct_wires.insert(it.second); + { + pool direct_sigs; + for (auto &it : module->cells_) { + RTLIL::Cell *cell = it.second; + if (ct_all.cell_known(cell->type)) + for (auto &it2 : cell->connections()) + if (ct_all.cell_output(cell->type, it2.first)) + direct_sigs.insert(assign_map(it2.second)); + } + for (auto &it : module->wires_) { + if (direct_sigs.count(assign_map(it.second)) || it.second->port_input) + direct_wires.insert(it.second); + } } + // weight all options for representatives with `compare_signals`, + // the one that wins will be what `assign_map` maps to for (auto &it : module->wires_) { RTLIL::Wire *wire = it.second; for (int i = 0; i < wire->width; i++) { @@ -332,21 +341,30 @@ bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos } } + // we are removing all connections module->connections_.clear(); + // used signals sigmapped SigPool used_signals; + // used signals pre-sigmapped SigPool raw_used_signals; + // used signals sigmapped, ignoring drivers (we keep track of this to set `unused_bits`) SigPool used_signals_nodrivers; + + // gather the usage information for cells for (auto &it : module->cells_) { RTLIL::Cell *cell = it.second; for (auto &it2 : cell->connections_) { - assign_map.apply(it2.second); + assign_map.apply(it2.second); // modify the cell connection in place raw_used_signals.add(it2.second); used_signals.add(it2.second); if (!ct_all.cell_output(cell->type, it2.first)) used_signals_nodrivers.add(it2.second); } } + + // gather the usage information for ports, wires with `keep`, + // also gather init bits dict init_bits; for (auto &it : module->wires_) { RTLIL::Wire *wire = it.second; @@ -374,6 +392,7 @@ bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos } } + // set init attributes on all wires of a connected group for (auto wire : module->wires()) { bool found = false; Const val(State::Sx, wire->width); @@ -388,6 +407,7 @@ bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos wire->attributes[ID::init] = val; } + // now decide for each wire if we should be deleting it pool del_wires_queue; for (auto wire : module->wires()) { From 7afc0696e2ffefde3ea3c92a5b22c6de1309b68e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Tue, 9 Jan 2024 11:54:38 +0100 Subject: [PATCH 031/159] opt_clean: Assert an impossible path isn't taken --- passes/opt/opt_clean.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/passes/opt/opt_clean.cc b/passes/opt/opt_clean.cc index c9d85028e..8b56895fe 100644 --- a/passes/opt/opt_clean.cc +++ b/passes/opt/opt_clean.cc @@ -438,6 +438,9 @@ bool rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos goto delete_this_wire; } else if (!used_signals.check_any(s2)) { + // this path shouldn't be possible: this wire is used directly (otherwise it would get cleaned up above), and indirectly + // used wires are a superset of those used directly + log_assert(false); // delete wires that aren't used by anything indirectly, even though other wires may alias it goto delete_this_wire; } From 112bcb0907cd2a1b9f2b232391cd3c9b63f9699d Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 30 Jan 2024 00:15:11 +0000 Subject: [PATCH 032/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 51e98d951..0ccdbe2c9 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+39 +YOSYS_VER := 0.37+52 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 3076875fffb5fd29ca177bc9a4245f05d3636099 Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Tue, 30 Jan 2024 00:56:07 +0000 Subject: [PATCH 033/159] removing call to dump_attributes to remove possibility of generating invalid verilog Signed-off-by: Ethan Mahintorabi --- backends/verilog/verilog_backend.cc | 1 - 1 file changed, 1 deletion(-) diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 51ea14bf4..41e51f328 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1058,7 +1058,6 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) f << stringf("%s" "assign ", indent.c_str()); dump_sigspec(f, cell->getPort(ID::Y)); f << stringf(" = "); - dump_attributes(f, "", cell->attributes, " "); dump_cell_expr_port(f, cell, "A", false); f << stringf(";\n"); return true; From 79c5a06673f0fcbf7d4794123477220ff7ad49b4 Mon Sep 17 00:00:00 2001 From: YRabbit Date: Tue, 30 Jan 2024 17:06:59 +1000 Subject: [PATCH 034/159] gowin: Fix SDP write enable port. This primitive does not have a separate WRE port, so we regulate writing using Clock Enable. Signed-off-by: YRabbit --- techlibs/gowin/brams_map.v | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/techlibs/gowin/brams_map.v b/techlibs/gowin/brams_map.v index d536cd636..8e6cc6140 100644 --- a/techlibs/gowin/brams_map.v +++ b/techlibs/gowin/brams_map.v @@ -324,6 +324,7 @@ input [PORT_W_WIDTH-1:0] PORT_W_WR_DATA; wire RST = OPTION_RESET_MODE == "SYNC" ? PORT_R_RD_SRST : PORT_R_RD_ARST; wire [13:0] ADW = `addrbe_always(PORT_W_WIDTH, PORT_W_ADDR); +wire WRE = PORT_W_CLK_EN & PORT_W_WR_EN; generate @@ -347,7 +348,7 @@ if (PORT_W_WIDTH < 9 || PORT_R_WIDTH < 9) begin .BLKSELB(3'b000), .CLKA(PORT_W_CLK), - .CEA(PORT_W_CLK_EN), + .CEA(WRE), .RESETA(1'b0), .ADA(ADW), .DI(DI), @@ -380,7 +381,7 @@ end else begin .BLKSELB(3'b000), .CLKA(PORT_W_CLK), - .CEA(PORT_W_CLK_EN), + .CEA(WRE), .RESETA(1'b0), .ADA(ADW), .DI(DI), From 7f8b6dd9825e90adb6d858c57e7c9a70fbce57ba Mon Sep 17 00:00:00 2001 From: Philippe Sauter Date: Tue, 30 Jan 2024 09:51:21 +0100 Subject: [PATCH 035/159] peepopt: delete unnecessary comment in shiftadd --- passes/pmgen/peepopt_shiftadd.pmg | 2 -- 1 file changed, 2 deletions(-) diff --git a/passes/pmgen/peepopt_shiftadd.pmg b/passes/pmgen/peepopt_shiftadd.pmg index 6f2dd89af..d17fcbb0d 100644 --- a/passes/pmgen/peepopt_shiftadd.pmg +++ b/passes/pmgen/peepopt_shiftadd.pmg @@ -113,8 +113,6 @@ code log_warning("at %s: result of shift operation is always constant (shifting '%s' by '%s + %d'-bits)\n", \ shift->get_src_attribute().c_str(), log_signal(old_a), log_signal(var_signal), offset); } - - // is it fine to leave new_a empty? (size 0) } SigSpec new_b = {var_signal, SigSpec(State::S0, log2scale)}; From db1de5fe5d3ff8d4847dcc510c3909674bd07636 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Tue, 30 Jan 2024 16:33:44 +0100 Subject: [PATCH 036/159] verific: add option to skip simplifying complex ports --- frontends/verific/verific.cc | 14 ++++++++++++-- 1 file changed, 12 insertions(+), 2 deletions(-) diff --git a/frontends/verific/verific.cc b/frontends/verific/verific.cc index adabd2700..4123c3741 100644 --- a/frontends/verific/verific.cc +++ b/frontends/verific/verific.cc @@ -2815,6 +2815,9 @@ struct VerificPass : public Pass { log(" -extnets\n"); log(" Resolve references to external nets by adding module ports as needed.\n"); log("\n"); + log(" -no-split-complex-ports\n"); + log(" Complex ports (structs or arrays) are not split and remain packed as a single port.\n"); + log("\n"); log(" -autocover\n"); log(" Generate automatic cover statements for all asserts\n"); log("\n"); @@ -3548,6 +3551,7 @@ struct VerificPass : public Pass { bool mode_nosva = false, mode_names = false, mode_verific = false; bool mode_autocover = false, mode_fullinit = false; bool flatten = false, extnets = false, mode_cells = false; + bool split_complex_ports = true; string dumpfile; string ppfile; Map parameters(STRING_HASH); @@ -3565,6 +3569,10 @@ struct VerificPass : public Pass { flatten = true; continue; } + if (args[argidx] == "-no-split-complex-ports") { + split_complex_ports = false; + continue; + } if (args[argidx] == "-extnets") { extnets = true; continue; @@ -3804,8 +3812,10 @@ struct VerificPass : public Pass { worker.run(nl.second); } - for (auto nl : nl_todo) - nl.second->ChangePortBusStructures(1 /* hierarchical */); + if (split_complex_ports) { + for (auto nl : nl_todo) + nl.second->ChangePortBusStructures(1 /* hierarchical */); + } if (!dumpfile.empty()) { VeriWrite veri_writer; From 4fa314c0bd0a7f314809e180f196d0974535b0f5 Mon Sep 17 00:00:00 2001 From: Claire Xenia Wolf Date: Tue, 30 Jan 2024 16:41:28 +0100 Subject: [PATCH 037/159] Add API to overwrite existing pass from plugin Signed-off-by: Claire Xenia Wolf --- kernel/register.cc | 8 +++----- kernel/register.h | 1 + passes/cmds/plugin.cc | 1 - 3 files changed, 4 insertions(+), 6 deletions(-) diff --git a/kernel/register.cc b/kernel/register.cc index 9ffb17c1a..1853e94d5 100644 --- a/kernel/register.cc +++ b/kernel/register.cc @@ -108,9 +108,8 @@ Pass::Pass(std::string name, std::string short_help) : pass_name(name), short_he void Pass::run_register() { - if (pass_register.count(pass_name)) + if (pass_register.count(pass_name) && !replace_existing_pass()) log_error("Unable to register pass '%s', pass already exists!\n", pass_name.c_str()); - pass_register[pass_name] = this; } @@ -447,13 +446,12 @@ Frontend::Frontend(std::string name, std::string short_help) : void Frontend::run_register() { - if (pass_register.count(pass_name)) + if (pass_register.count(pass_name) && !replace_existing_pass()) log_error("Unable to register pass '%s', pass already exists!\n", pass_name.c_str()); pass_register[pass_name] = this; - if (frontend_register.count(frontend_name)) + if (frontend_register.count(frontend_name) && !replace_existing_pass()) log_error("Unable to register frontend '%s', frontend already exists!\n", frontend_name.c_str()); - frontend_register[frontend_name] = this; } diff --git a/kernel/register.h b/kernel/register.h index 15750af2a..08ce4b287 100644 --- a/kernel/register.h +++ b/kernel/register.h @@ -70,6 +70,7 @@ struct Pass virtual void on_register(); virtual void on_shutdown(); + virtual bool replace_existing_pass() const { return false; } }; struct ScriptPass : Pass diff --git a/passes/cmds/plugin.cc b/passes/cmds/plugin.cc index 08b4aa8c4..4ad7c165b 100644 --- a/passes/cmds/plugin.cc +++ b/passes/cmds/plugin.cc @@ -103,7 +103,6 @@ void load_plugin(std::string filename, std::vector aliases) loaded_plugins[orig_filename] = hdl; Pass::init_register(); - } } From 039634d9737dd7198d21e534b386203aebf0750a Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Wed, 31 Jan 2024 01:03:01 +0300 Subject: [PATCH 038/159] feat: mkdir with tree --- kernel/driver.cc | 101 ++++++++++++++++++++++++++++++++++++++++++----- 1 file changed, 92 insertions(+), 9 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index 3a5e49cb4..ee30c2b27 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -53,6 +53,78 @@ # include #endif +#include // _stat +#include // errno, ENOENT, EEXIST +#if defined(_WIN32) +# include +# define mkdir(path, mode) _mkdir(path) +# define PATH_DELIMETER "\\" +#else +# define PATH_DELIMETER "/" +#endif + + + +bool isDirExist(const std::string& path) +{ +#if defined(_WIN32) + struct _stat info; + if (_stat(path.c_str(), &info) != 0) + { + return false; + } + return (info.st_mode & _S_IFDIR) != 0; +#else + struct stat info; + if (stat(path.c_str(), &info) != 0) + { + return false; + } + return (info.st_mode & S_IFDIR) != 0; +#endif +} +bool makePath(const std::string& path) +{ +#if defined(_WIN32) + int ret = _mkdir(path.c_str()); +#else + mode_t mode = 0755; + int ret = mkdir(path.c_str(), mode); +#endif + if (ret == 0) + return true; + + switch (errno) + { + case ENOENT: + // parent didn't exist, try to create it + { + int pos = path.find_last_of('/'); + if (pos == std::string::npos) +#if defined(_WIN32) + pos = path.find_last_of('\\'); + if (pos == std::string::npos) +#endif + return false; + if (!makePath( path.substr(0, pos) )) + return false; + } + // now, try to create again +#if defined(_WIN32) + return 0 == _mkdir(path.c_str()); +#else + return 0 == mkdir(path.c_str(), mode); +#endif + + case EEXIST: + // done! + return isDirExist(path); + + default: + return false; + } +} + USING_YOSYS_NAMESPACE char *optarg; @@ -244,16 +316,27 @@ int main(int argc, char **argv) bool mode_q = false; #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { - if (getenv("HOME") != NULL) { - yosys_history_file = stringf("%s/.local/state/.yosys_history", getenv("HOME")); - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); + std::string state_dir; + if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { + if (getenv("HOME") != NULL) { + state_dir = stringf("%s/.local/state", getenv("HOME")); + }else { + log("$HOME is empty. No history file will be created."); } - } else { - yosys_history_file = stringf("%s/.yosys_history", getenv("XDG_STATE_HOME")); - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); + } else { + state_dir = stringf("%s", getenv("XDG_STATE_HOME")); + } + + if (!state_dir.empty()) { + std::string yosys_dir = state_dir + "/yosys"; + // mkdir(yosys_dir.c_str(), 0777); + makePath(yosys_dir); + + yosys_history_file = yosys_dir + "/history"; + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); + }else { + log("state_dir is empty. No history file will be created."); } #endif From 3bc83c65333b3c218eceade58d27618334468bd4 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 31 Jan 2024 00:15:44 +0000 Subject: [PATCH 039/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 0ccdbe2c9..85d272417 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+52 +YOSYS_VER := 0.37+59 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From cbdf9b2f9c2953255bee05aa1a8ddc1cf80a4b13 Mon Sep 17 00:00:00 2001 From: Philippe Sauter Date: Wed, 31 Jan 2024 13:06:35 +0100 Subject: [PATCH 040/159] peepopt: handle empty src-attribute in shiftadd --- passes/pmgen/peepopt_shiftadd.pmg | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/passes/pmgen/peepopt_shiftadd.pmg b/passes/pmgen/peepopt_shiftadd.pmg index d17fcbb0d..e690ff651 100644 --- a/passes/pmgen/peepopt_shiftadd.pmg +++ b/passes/pmgen/peepopt_shiftadd.pmg @@ -103,15 +103,19 @@ code new_a.append(old_a); } else { // data >> (...+c) transformed to data[MAX:c] >> (...) - if(offset < GetSize(old_a)) // some signal bits left? + if(offset < GetSize(old_a)) { // some signal bits left? new_a.append(old_a.extract_end(offset)); - else { + } else { + // warn user in case data is empty (no bits left) + std::string location = shift->get_src_attribute(); + if (location.empty()) + location = shift->name.str(); if(shift->type.in($shiftx)) log_warning("at %s: result of indexed part-selection is always constant (selecting from '%s' with index '%s + %d')\n", \ - shift->get_src_attribute().c_str(), log_signal(old_a), log_signal(var_signal), offset); + location.c_str(), log_signal(old_a), log_signal(var_signal), offset); else log_warning("at %s: result of shift operation is always constant (shifting '%s' by '%s + %d'-bits)\n", \ - shift->get_src_attribute().c_str(), log_signal(old_a), log_signal(var_signal), offset); + location.c_str(), log_signal(old_a), log_signal(var_signal), offset); } } From 820232eacaa88e81be044a90dcc3b96e411dea8c Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Wed, 31 Jan 2024 19:50:31 +0300 Subject: [PATCH 041/159] fix: function naming and locations --- kernel/driver.cc | 75 +----------------------------------------------- kernel/yosys.cc | 65 +++++++++++++++++++++++++++++++++++++++-- kernel/yosys.h | 4 +++ 3 files changed, 68 insertions(+), 76 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index ee30c2b27..5f105ea03 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -53,78 +53,6 @@ # include #endif -#include // _stat -#include // errno, ENOENT, EEXIST -#if defined(_WIN32) -# include -# define mkdir(path, mode) _mkdir(path) -# define PATH_DELIMETER "\\" -#else -# define PATH_DELIMETER "/" -#endif - - - -bool isDirExist(const std::string& path) -{ -#if defined(_WIN32) - struct _stat info; - if (_stat(path.c_str(), &info) != 0) - { - return false; - } - return (info.st_mode & _S_IFDIR) != 0; -#else - struct stat info; - if (stat(path.c_str(), &info) != 0) - { - return false; - } - return (info.st_mode & S_IFDIR) != 0; -#endif -} -bool makePath(const std::string& path) -{ -#if defined(_WIN32) - int ret = _mkdir(path.c_str()); -#else - mode_t mode = 0755; - int ret = mkdir(path.c_str(), mode); -#endif - if (ret == 0) - return true; - - switch (errno) - { - case ENOENT: - // parent didn't exist, try to create it - { - int pos = path.find_last_of('/'); - if (pos == std::string::npos) -#if defined(_WIN32) - pos = path.find_last_of('\\'); - if (pos == std::string::npos) -#endif - return false; - if (!makePath( path.substr(0, pos) )) - return false; - } - // now, try to create again -#if defined(_WIN32) - return 0 == _mkdir(path.c_str()); -#else - return 0 == mkdir(path.c_str(), mode); -#endif - - case EEXIST: - // done! - return isDirExist(path); - - default: - return false; - } -} - USING_YOSYS_NAMESPACE char *optarg; @@ -329,8 +257,7 @@ int main(int argc, char **argv) if (!state_dir.empty()) { std::string yosys_dir = state_dir + "/yosys"; - // mkdir(yosys_dir.c_str(), 0777); - makePath(yosys_dir); + create_directory(yosys_dir); yosys_history_file = yosys_dir + "/history"; read_history(yosys_history_file.c_str()); diff --git a/kernel/yosys.cc b/kernel/yosys.cc index 4409dc91d..0362bc1eb 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -436,6 +436,25 @@ std::string make_temp_dir(std::string template_str) #endif } +bool check_dir_exists(const std::string& path) +{ +#if defined(_WIN32) + struct _stat info; + if (_stat(path.c_str(), &info) != 0) + { + return false; + } + return (info.st_mode & _S_IFDIR) != 0; +#else + struct stat info; + if (stat(path.c_str(), &info) != 0) + { + return false; + } + return (info.st_mode & S_IFDIR) != 0; +#endif +} + #ifdef _WIN32 bool check_file_exists(std::string filename, bool) { @@ -481,6 +500,48 @@ void remove_directory(std::string dirname) #endif } +bool create_directory(const std::string& path) +{ +#if defined(_WIN32) + int ret = _mkdir(path.c_str()); +#else + mode_t mode = 0755; + int ret = mkdir(path.c_str(), mode); +#endif + if (ret == 0) + return true; + + switch (errno) + { + case ENOENT: + // parent didn't exist, try to create it + { + std::string::size_type pos = path.find_last_of('/'); + if (pos == std::string::npos) +#if defined(_WIN32) + pos = path.find_last_of('\\'); + if (pos == std::string::npos) +#endif + return false; + if (!create_directory( path.substr(0, pos) )) + return false; + } + // now, try to create again +#if defined(_WIN32) + return 0 == _mkdir(path.c_str()); +#else + return 0 == mkdir(path.c_str(), mode); +#endif + + case EEXIST: + // done! + return check_dir_exists(path); + + default: + return false; + } +} + std::string escape_filename_spaces(const std::string& filename) { std::string out; @@ -781,10 +842,10 @@ static int tcl_yosys_cmd(ClientData, Tcl_Interp *interp, int argc, const char *a int yosys_tcl_iterp_init(Tcl_Interp *interp) { - if (Tcl_Init(interp)!=TCL_OK) + if (Tcl_Init(interp)!=TCL_OK) log_warning("Tcl_Init() call failed - %s\n",Tcl_ErrnoMsg(Tcl_GetErrno())); Tcl_CreateCommand(interp, "yosys", tcl_yosys_cmd, NULL, NULL); - return TCL_OK ; + return TCL_OK ; } void yosys_tcl_activate_repl() diff --git a/kernel/yosys.h b/kernel/yosys.h index 97a79861e..76ad01aa9 100644 --- a/kernel/yosys.h +++ b/kernel/yosys.h @@ -66,6 +66,8 @@ #include #include #include +#include +#include #ifdef WITH_PYTHON #include @@ -341,8 +343,10 @@ std::string get_base_tmpdir(); std::string make_temp_file(std::string template_str = get_base_tmpdir() + "/yosys_XXXXXX"); std::string make_temp_dir(std::string template_str = get_base_tmpdir() + "/yosys_XXXXXX"); bool check_file_exists(std::string filename, bool is_exec = false); +bool check_dir_exists(const std::string& path); bool is_absolute_path(std::string filename); void remove_directory(std::string dirname); +bool create_directory(const std::string& path); std::string escape_filename_spaces(const std::string& filename); template int GetSize(const T &obj) { return obj.size(); } From dd5dc06863d0e9fe76ff0d2f4cffbe9869bfa92f Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Wed, 31 Jan 2024 20:14:32 +0300 Subject: [PATCH 042/159] fix: save history file on windows --- kernel/driver.cc | 34 +++++++++++++++++++++------------- 1 file changed, 21 insertions(+), 13 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index 5f105ea03..a5201464d 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -245,26 +245,34 @@ int main(int argc, char **argv) #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) std::string state_dir; - if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { - if (getenv("HOME") != NULL) { - state_dir = stringf("%s/.local/state", getenv("HOME")); - }else { - log("$HOME is empty. No history file will be created."); - } - } else { - state_dir = stringf("%s", getenv("XDG_STATE_HOME")); - } + #if defined(_WIN32) + if (getenv("HOMEDRIVE") != NULL && getenv("HOMEPATH") != NULL) { + state_dir = stringf("%s%s/.local/state", getenv("HOMEDRIVE"), getenv("HOMEPATH")); + } else { + log("$HOMEDRIVE and/or $HOMEPATH is empty. No history file will be created."); + } + #else + if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { + if (getenv("HOME") != NULL) { + state_dir = stringf("%s/.local/state", getenv("HOME")); + } else { + log("$HOME is empty. No history file will be created."); + } + } else { + state_dir = stringf("%s", getenv("XDG_STATE_HOME")); + } + #endif if (!state_dir.empty()) { std::string yosys_dir = state_dir + "/yosys"; - create_directory(yosys_dir); + create_directory(yosys_dir); yosys_history_file = yosys_dir + "/history"; read_history(yosys_history_file.c_str()); yosys_history_offset = where_history(); - }else { - log("state_dir is empty. No history file will be created."); - } + } else { + log("state_dir is empty. No history file will be created."); + } #endif if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help"))) From bbb8ad59973317afde365488cec6d25dc62d7304 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Thu, 1 Feb 2024 00:16:28 +0000 Subject: [PATCH 043/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 85d272417..916ac0bf7 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+59 +YOSYS_VER := 0.37+64 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From a84fa0a27727490884a8795eaee8f82335b5e2be Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 1 Feb 2024 10:28:36 +0100 Subject: [PATCH 044/159] connect: Do interpret selection arguments Instead of silently ignoring what would ordinarily be the selection arguments to a pass, interpret those and mark the support in the help message. --- passes/cmds/connect.cc | 29 +++++++++++++++-------------- 1 file changed, 15 insertions(+), 14 deletions(-) diff --git a/passes/cmds/connect.cc b/passes/cmds/connect.cc index 1bd52aab2..65292ef92 100644 --- a/passes/cmds/connect.cc +++ b/passes/cmds/connect.cc @@ -47,7 +47,7 @@ struct ConnectPass : public Pass { { // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---| log("\n"); - log(" connect [-nomap] [-nounset] -set \n"); + log(" connect [-nomap] [-nounset] -set [selection]\n"); log("\n"); log("Create a connection. This is equivalent to adding the statement 'assign\n"); log(" = ;' to the Verilog input. Per default, all existing\n"); @@ -55,12 +55,12 @@ struct ConnectPass : public Pass { log("the -nounset option.\n"); log("\n"); log("\n"); - log(" connect [-nomap] -unset \n"); + log(" connect [-nomap] -unset [selection]\n"); log("\n"); log("Unconnect all existing drivers for the specified expression.\n"); log("\n"); log("\n"); - log(" connect [-nomap] [-assert] -port \n"); + log(" connect [-nomap] [-assert] -port [selection]\n"); log("\n"); log("Connect the specified cell port to the specified cell port.\n"); log("\n"); @@ -80,17 +80,6 @@ struct ConnectPass : public Pass { } void execute(std::vector args, RTLIL::Design *design) override { - RTLIL::Module *module = nullptr; - for (auto mod : design->selected_modules()) { - if (module != nullptr) - log_cmd_error("Multiple modules selected: %s, %s\n", log_id(module->name), log_id(mod->name)); - module = mod; - } - if (module == nullptr) - log_cmd_error("No modules selected.\n"); - if (!module->processes.empty()) - log_cmd_error("Found processes in selected module.\n"); - bool flag_nounset = false, flag_nomap = false, flag_assert = false; std::string set_lhs, set_rhs, unset_expr; std::string port_cell, port_port, port_expr; @@ -128,6 +117,18 @@ struct ConnectPass : public Pass { } break; } + extra_args(args, argidx, design); + + RTLIL::Module *module = nullptr; + for (auto mod : design->selected_modules()) { + if (module != nullptr) + log_cmd_error("Multiple modules selected: %s, %s\n", log_id(module->name), log_id(mod->name)); + module = mod; + } + if (module == nullptr) + log_cmd_error("No modules selected.\n"); + if (!module->processes.empty()) + log_cmd_error("Found processes in selected module.\n"); SigMap sigmap; if (!flag_nomap) From 2baa578d949b449a05c91e4f3d0c4b96a92f4be7 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 22 Jan 2024 18:16:52 +0100 Subject: [PATCH 045/159] Remove too fragile smtlib2_module test This compares the write_smt2 output pretty much verbatim, which contains auto generated private names and fixes an arbitrary ordering. The tested functionality is also covered by SBY tests which actually interpret the write_smt2 output using an SMT solver and thus are much more robust, so we can safely remove this test. --- tests/various/smtlib2_module-expected.smt2 | 96 ---------------------- tests/various/smtlib2_module.sh | 5 -- tests/various/smtlib2_module.v | 33 -------- 3 files changed, 134 deletions(-) delete mode 100644 tests/various/smtlib2_module-expected.smt2 delete mode 100755 tests/various/smtlib2_module.sh delete mode 100644 tests/various/smtlib2_module.v diff --git a/tests/various/smtlib2_module-expected.smt2 b/tests/various/smtlib2_module-expected.smt2 deleted file mode 100644 index 494e7cda0..000000000 --- a/tests/various/smtlib2_module-expected.smt2 +++ /dev/null @@ -1,96 +0,0 @@ -; SMT-LIBv2 description generated by Yosys $VERSION -; yosys-smt2-module smtlib2 -(declare-sort |smtlib2_s| 0) -(declare-fun |smtlib2_is| (|smtlib2_s|) Bool) -(declare-fun |smtlib2#0| (|smtlib2_s|) (_ BitVec 8)) ; \a -; yosys-smt2-input a 8 -; yosys-smt2-witness {"offset": 0, "path": ["\\a"], "smtname": "a", "smtoffset": 0, "type": "input", "width": 8} -(define-fun |smtlib2_n a| ((state |smtlib2_s|)) (_ BitVec 8) (|smtlib2#0| state)) -(declare-fun |smtlib2#1| (|smtlib2_s|) (_ BitVec 8)) ; \b -; yosys-smt2-input b 8 -; yosys-smt2-witness {"offset": 0, "path": ["\\b"], "smtname": "b", "smtoffset": 0, "type": "input", "width": 8} -(define-fun |smtlib2_n b| ((state |smtlib2_s|)) (_ BitVec 8) (|smtlib2#1| state)) -; yosys-smt2-output add 8 -; yosys-smt2-witness {"offset": 0, "path": ["\\add"], "smtname": "add", "smtoffset": 0, "type": "blackbox", "width": 8} -(define-fun |smtlib2_n add| ((state |smtlib2_s|)) (_ BitVec 8) (let ( -(|a| (|smtlib2_n a| state)) -(|b| (|smtlib2_n b| state)) -) -(bvadd a b) -)) -; yosys-smt2-output eq 1 -; yosys-smt2-witness {"offset": 0, "path": ["\\eq"], "smtname": "eq", "smtoffset": 0, "type": "blackbox", "width": 1} -(define-fun |smtlib2_n eq| ((state |smtlib2_s|)) Bool (let ( -(|a| (|smtlib2_n a| state)) -(|b| (|smtlib2_n b| state)) -) -(= a b) -)) -; yosys-smt2-output sub 8 -; yosys-smt2-witness {"offset": 0, "path": ["\\sub"], "smtname": "sub", "smtoffset": 0, "type": "blackbox", "width": 8} -(define-fun |smtlib2_n sub| ((state |smtlib2_s|)) (_ BitVec 8) (let ( -(|a| (|smtlib2_n a| state)) -(|b| (|smtlib2_n b| state)) -) -(bvadd a (bvneg b)) -)) -(define-fun |smtlib2_a| ((state |smtlib2_s|)) Bool true) -(define-fun |smtlib2_u| ((state |smtlib2_s|)) Bool true) -(define-fun |smtlib2_i| ((state |smtlib2_s|)) Bool true) -(define-fun |smtlib2_h| ((state |smtlib2_s|)) Bool true) -(define-fun |smtlib2_t| ((state |smtlib2_s|) (next_state |smtlib2_s|)) Bool true) ; end of module smtlib2 -; yosys-smt2-module uut -(declare-sort |uut_s| 0) -(declare-fun |uut_is| (|uut_s|) Bool) -; yosys-smt2-cell smtlib2 s -; yosys-smt2-witness {"path": ["\\s"], "smtname": "s", "type": "cell"} -(declare-fun |uut#0| (|uut_s|) (_ BitVec 8)) ; \add -(declare-fun |uut#1| (|uut_s|) Bool) ; \eq -(declare-fun |uut#2| (|uut_s|) (_ BitVec 8)) ; \sub -(declare-fun |uut_h s| (|uut_s|) |smtlib2_s|) -; yosys-smt2-anyconst uut#3 8 smtlib2_module.v:14.17-14.26 -; yosys-smt2-witness {"offset": 0, "path": ["\\a"], "smtname": 3, "smtoffset": 0, "type": "init", "width": 8} -(declare-fun |uut#3| (|uut_s|) (_ BitVec 8)) ; \a -; yosys-smt2-anyconst uut#4 8 smtlib2_module.v:14.32-14.41 -; yosys-smt2-witness {"offset": 0, "path": ["\\b"], "smtname": 4, "smtoffset": 0, "type": "init", "width": 8} -(declare-fun |uut#4| (|uut_s|) (_ BitVec 8)) ; \b -(define-fun |uut#5| ((state |uut_s|)) (_ BitVec 8) (bvadd (|uut#3| state) (|uut#4| state))) ; \add2 -(define-fun |uut#6| ((state |uut_s|)) Bool (= (|uut#0| state) (|uut#5| state))) ; $0$formal$smtlib2_module.v:28$1_CHECK[0:0]$9 -; yosys-smt2-assert 0 $assert$smtlib2_module.v:28$19 smtlib2_module.v:28.17-29.22 -(define-fun |uut_a 0| ((state |uut_s|)) Bool (or (|uut#6| state) (not true))) ; $assert$smtlib2_module.v:28$19 -(define-fun |uut#7| ((state |uut_s|)) (_ BitVec 8) (bvsub (|uut#3| state) (|uut#4| state))) ; \sub2 -(define-fun |uut#8| ((state |uut_s|)) Bool (= (|uut#2| state) (|uut#7| state))) ; $0$formal$smtlib2_module.v:29$2_CHECK[0:0]$11 -; yosys-smt2-assert 1 $assert$smtlib2_module.v:29$20 smtlib2_module.v:29.23-30.22 -(define-fun |uut_a 1| ((state |uut_s|)) Bool (or (|uut#8| state) (not true))) ; $assert$smtlib2_module.v:29$20 -(define-fun |uut#9| ((state |uut_s|)) Bool (= (|uut#3| state) (|uut#4| state))) ; $eq$smtlib2_module.v:31$17_Y -(define-fun |uut#10| ((state |uut_s|)) Bool (= (ite (|uut#1| state) #b1 #b0) (ite (|uut#9| state) #b1 #b0))) ; $0$formal$smtlib2_module.v:30$3_CHECK[0:0]$13 -; yosys-smt2-assert 2 $assert$smtlib2_module.v:30$21 smtlib2_module.v:30.23-31.25 -(define-fun |uut_a 2| ((state |uut_s|)) Bool (or (|uut#10| state) (not true))) ; $assert$smtlib2_module.v:30$21 -(define-fun |uut_a| ((state |uut_s|)) Bool (and - (|uut_a 0| state) - (|uut_a 1| state) - (|uut_a 2| state) - (|smtlib2_a| (|uut_h s| state)) -)) -(define-fun |uut_u| ((state |uut_s|)) Bool - (|smtlib2_u| (|uut_h s| state)) -) -(define-fun |uut_i| ((state |uut_s|)) Bool - (|smtlib2_i| (|uut_h s| state)) -) -(define-fun |uut_h| ((state |uut_s|)) Bool (and - (= (|uut_is| state) (|smtlib2_is| (|uut_h s| state))) - (= (|uut#3| state) (|smtlib2_n a| (|uut_h s| state))) ; smtlib2.a - (= (|uut#0| state) (|smtlib2_n add| (|uut_h s| state))) ; smtlib2.add - (= (|uut#4| state) (|smtlib2_n b| (|uut_h s| state))) ; smtlib2.b - (= (|uut#1| state) (|smtlib2_n eq| (|uut_h s| state))) ; smtlib2.eq - (= (|uut#2| state) (|smtlib2_n sub| (|uut_h s| state))) ; smtlib2.sub - (|smtlib2_h| (|uut_h s| state)) -)) -(define-fun |uut_t| ((state |uut_s|) (next_state |uut_s|)) Bool (and - (= (|uut#4| state) (|uut#4| next_state)) ; $anyconst$5 \b - (= (|uut#3| state) (|uut#3| next_state)) ; $anyconst$4 \a - (|smtlib2_t| (|uut_h s| state) (|uut_h s| next_state)) -)) ; end of module uut -; yosys-smt2-topmod uut -; end of yosys output diff --git a/tests/various/smtlib2_module.sh b/tests/various/smtlib2_module.sh deleted file mode 100755 index a2206eea7..000000000 --- a/tests/various/smtlib2_module.sh +++ /dev/null @@ -1,5 +0,0 @@ -#!/usr/bin/env bash -set -ex -../../yosys -q -p 'read_verilog -formal smtlib2_module.v; prep; write_smt2 smtlib2_module.smt2' -sed 's/; SMT-LIBv2 description generated by Yosys .*/; SMT-LIBv2 description generated by Yosys $VERSION/;s/ *$//' smtlib2_module.smt2 > smtlib2_module-filtered.smt2 -diff -au smtlib2_module-expected.smt2 smtlib2_module-filtered.smt2 diff --git a/tests/various/smtlib2_module.v b/tests/various/smtlib2_module.v deleted file mode 100644 index 4aad86905..000000000 --- a/tests/various/smtlib2_module.v +++ /dev/null @@ -1,33 +0,0 @@ -(* smtlib2_module *) -module smtlib2(a, b, add, sub, eq); - input [7:0] a, b; - (* smtlib2_comb_expr = "(bvadd a b)" *) - output [7:0] add; - (* smtlib2_comb_expr = "(bvadd a (bvneg b))" *) - output [7:0] sub; - (* smtlib2_comb_expr = "(= a b)" *) - output eq; -endmodule - -(* top *) -module uut; - wire [7:0] a = $anyconst, b = $anyconst, add, sub, add2, sub2; - wire eq; - - assign add2 = a + b; - assign sub2 = a - b; - - smtlib2 s ( - .a(a), - .b(b), - .add(add), - .sub(sub), - .eq(eq) - ); - - always @* begin - assert(add == add2); - assert(sub == sub2); - assert(eq == (a == b)); - end -endmodule From 331ac5285fa61deaa288ed1496da77e40c495640 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 22 Jan 2024 17:44:05 +0100 Subject: [PATCH 046/159] tests: Run async2sync before sat and/or sim to handle $check cells Right now neither `sat` nor `sim` have support for the `$check` cell. For formal verification it is a good idea to always run either async2sync or clk2fflogic which will (in a future commit) lower `$check` to `$assert`, etc. While `sim` should eventually support `$check` directly, using `async2sync` is ok for the current tests that use `sim`, so this commit also runs `async2sync` before running sim on designs containing assertions. --- tests/arch/quicklogic/qlf_k6n10f/dsp.ys | 3 ++- tests/arch/quicklogic/qlf_k6n10f/mem_gen.py | 17 +++++++++-------- tests/arch/quicklogic/qlf_k6n10f/meminit.ys | 1 + tests/arch/xilinx/dsp_abc9.ys | 1 + tests/gen-tests-makefile.sh | 2 +- tests/sat/asserts.ys | 2 +- tests/sat/asserts_seq.ys | 2 +- tests/sat/initval.ys | 2 +- tests/sat/sizebits.ys | 2 +- tests/svtypes/enum_simple.ys | 2 +- tests/svtypes/struct_dynamic_range.ys | 1 + tests/svtypes/typedef_initial_and_assign.ys | 4 ++-- tests/svtypes/typedef_struct_port.ys | 2 +- tests/various/chformal_coverenable.ys | 2 ++ tests/various/chparam.sh | 3 +++ tests/various/const_arg_loop.ys | 1 + tests/various/const_func.ys | 1 + tests/various/countbits.ys | 1 + tests/various/param_struct.ys | 1 + tests/various/struct_access.ys | 1 + tests/verilog/asgn_expr.ys | 1 + tests/verilog/atom_type_signedness.ys | 2 +- tests/verilog/bug2042-sv.ys | 2 ++ tests/verilog/func_tern_hint.ys | 1 + tests/verilog/func_upto.ys | 1 + tests/verilog/int_types.ys | 1 + tests/verilog/mem_bounds.ys | 1 + tests/verilog/net_types.ys | 1 + tests/verilog/package_task_func.ys | 1 + tests/verilog/param_no_default.ys | 1 + tests/verilog/parameters_across_files.ys | 1 + tests/verilog/past_signedness.ys | 2 ++ tests/verilog/prefix.ys | 1 + tests/verilog/sign_array_query.ys | 3 +++ tests/verilog/size_cast.ys | 1 + tests/verilog/struct_access.ys | 1 + tests/verilog/typedef_across_files.ys | 1 + tests/verilog/typedef_legacy_conflict.ys | 1 + tests/verilog/unbased_unsized.ys | 1 + tests/verilog/unbased_unsized_shift.ys | 1 + tests/verilog/unreachable_case_sign.ys | 2 ++ 41 files changed, 59 insertions(+), 19 deletions(-) diff --git a/tests/arch/quicklogic/qlf_k6n10f/dsp.ys b/tests/arch/quicklogic/qlf_k6n10f/dsp.ys index 023ff0d89..1e652855b 100644 --- a/tests/arch/quicklogic/qlf_k6n10f/dsp.ys +++ b/tests/arch/quicklogic/qlf_k6n10f/dsp.ys @@ -107,7 +107,7 @@ reg [7:0] i = 0; always @(posedge clk) begin if (i < VECTORLEN) begin // FIXME: for some reason the first assert fails (despite comparing zero to zero) - if (i > 0) + if (i > 0) assert(y == y_expected); i <= i + 1; end @@ -117,4 +117,5 @@ EOF read_verilog +/quicklogic/qlf_k6n10f/dsp_sim.v hierarchy -top testbench proc +async2sync sim -assert -q -clock clk -n 20 diff --git a/tests/arch/quicklogic/qlf_k6n10f/mem_gen.py b/tests/arch/quicklogic/qlf_k6n10f/mem_gen.py index 226d6a1a0..b608e66eb 100644 --- a/tests/arch/quicklogic/qlf_k6n10f/mem_gen.py +++ b/tests/arch/quicklogic/qlf_k6n10f/mem_gen.py @@ -36,7 +36,7 @@ blockram_tests: "list[tuple[list[tuple[str, int]], str, list[str]]]" = [ ([("ADDRESS_WIDTH", 14), ("DATA_WIDTH", 2)], "sync_ram_*dp", ["-assert-count 1 t:TDP36K", "-assert-count 1 t:TDP36K a:port_a_width=2 %i"]), ([("ADDRESS_WIDTH", 15), ("DATA_WIDTH", 1)], "sync_ram_*dp", ["-assert-count 1 t:TDP36K", "-assert-count 1 t:TDP36K a:port_a_width=1 %i"]), - # 2x asymmetric (1024x36bit write / 2048x18bit read or vice versa = 1TDP36K) + # 2x asymmetric (1024x36bit write / 2048x18bit read or vice versa = 1TDP36K) ([("ADDRESS_WIDTH", 11), ("DATA_WIDTH", 18), ("SHIFT_VAL", 1)], "sync_ram_sdp_w*r", ["-assert-count 1 t:TDP36K"]), ([("ADDRESS_WIDTH", 11), ("DATA_WIDTH", 16), ("SHIFT_VAL", 1)], "sync_ram_sdp_w*r", ["-assert-count 1 t:TDP36K"]), ([("ADDRESS_WIDTH", 12), ("DATA_WIDTH", 9), ("SHIFT_VAL", 1)], "sync_ram_sdp_w*r", ["-assert-count 1 t:TDP36K"]), @@ -131,6 +131,7 @@ read_verilog -defer -formal mem_tb.v chparam{param_str} -set VECTORLEN {vectorlen} TB hierarchy -top TB -check prep +async2sync log ** CHECKING SIMULATION FOR TEST {top} WITH PARAMS{param_str} sim -clock clk -n {vectorlen} -assert """ @@ -254,16 +255,16 @@ sim_tests: list[TestClass] = [ {"rq_a": 0x5678}, ] ), - TestClass( # basic TDP test + TestClass( # basic TDP test # note that the testbench uses ra and wa, while the common TDP model # uses a shared address params={"ADDRESS_WIDTH": 10, "DATA_WIDTH": 36}, top="sync_ram_tdp", assertions=[], test_steps=[ - {"wce_a": 1, "ra_a": 0x0A, "wce_b": 1, "ra_b": 0xBA, + {"wce_a": 1, "ra_a": 0x0A, "wce_b": 1, "ra_b": 0xBA, "wd_a": 0xdeadbeef, "wd_b": 0x5a5a5a5a}, - {"wce_a": 1, "ra_a": 0xFF, + {"wce_a": 1, "ra_a": 0xFF, "wd_a": 0}, {"rce_a": 1, "ra_a": 0x0A, "rce_b": 1, "ra_b": 0x0A}, {"rq_a": 0xdeadbeef, "rq_b": 0xdeadbeef}, @@ -276,9 +277,9 @@ sim_tests: list[TestClass] = [ top="sync_ram_tdp", assertions=[], test_steps=[ - {"wce_a": 1, "ra_a": 0x0F, "wce_b": 1, "ra_b": 0xBA, + {"wce_a": 1, "ra_a": 0x0F, "wce_b": 1, "ra_b": 0xBA, "wd_a": 0xdeadbeef, "wd_b": 0x5a5a5a5a}, - {"wce_a": 1, "ra_a": 0xFF, + {"wce_a": 1, "ra_a": 0xFF, "wd_a": 0}, {"rce_a": 1, "ra_a": 0x0F, "rce_b": 1, "ra_b": 0x0A}, {"rq_a": 0, "rq_b": 0x00005a5a}, @@ -291,7 +292,7 @@ sim_tests: list[TestClass] = [ top="sync_ram_tdp", assertions=[], test_steps=[ - {"wce_a": 1, "ra_a": 0x0A, "wce_b": 1, "ra_b": 0xBA, + {"wce_a": 1, "ra_a": 0x0A, "wce_b": 1, "ra_b": 0xBA, "wd_a": 0xdeadbeef, "wd_b": 0x5a5a5a5a}, {"wce_a": 1, "ra_a": 0xBA, "rce_b": 1, "ra_b": 0xBA, "wd_a": 0xa5a5a5a5}, @@ -409,7 +410,7 @@ for sim_test in sim_tests: fn = f"t_mem{i}.ys" f = open(fn, mode="w") j = 0 - + # output yosys script test file print( blockram_template.format(param_str=param_str, top=top), diff --git a/tests/arch/quicklogic/qlf_k6n10f/meminit.ys b/tests/arch/quicklogic/qlf_k6n10f/meminit.ys index 2949e1590..8e9849c3b 100644 --- a/tests/arch/quicklogic/qlf_k6n10f/meminit.ys +++ b/tests/arch/quicklogic/qlf_k6n10f/meminit.ys @@ -10,5 +10,6 @@ select -assert-count 1 t:TDP36K a:is_split=0 %i select -assert-count 1 t:TDP36K a:was_split_candidate=0 %i read_verilog +/quicklogic/common/cells_sim.v +/quicklogic/qlf_k6n10f/cells_sim.v +/quicklogic/qlf_k6n10f/brams_sim.v +/quicklogic/qlf_k6n10f/sram1024x18_mem.v +/quicklogic/qlf_k6n10f/ufifo_ctl.v +/quicklogic/qlf_k6n10f/TDP18K_FIFO.v prep +async2sync hierarchy -top top sim -assert -q -n 12 -clock clk diff --git a/tests/arch/xilinx/dsp_abc9.ys b/tests/arch/xilinx/dsp_abc9.ys index ae4839d7f..1d74cfa89 100644 --- a/tests/arch/xilinx/dsp_abc9.ys +++ b/tests/arch/xilinx/dsp_abc9.ys @@ -30,6 +30,7 @@ module top(output [42:0] P); assert property (P == 42*42); endmodule EOT +async2sync techmap -map +/xilinx/xc7_dsp_map.v verilog_defaults -add -D ALLOW_WHITEBOX_DSP48E1 synth_xilinx -abc9 diff --git a/tests/gen-tests-makefile.sh b/tests/gen-tests-makefile.sh index 3df36a963..6bf91b4dc 100755 --- a/tests/gen-tests-makefile.sh +++ b/tests/gen-tests-makefile.sh @@ -75,7 +75,7 @@ generate_tests() { if [[ $do_sv = true ]]; then for x in *.sv; do if [ ! -f "${x%.sv}.ys" ]; then - generate_ys_test "$x" "-p \"prep -top top; sat -enable_undef -verify -prove-asserts\" $yosys_args" + generate_ys_test "$x" "-p \"prep -top top; async2sync; sat -enable_undef -verify -prove-asserts\" $yosys_args" fi; done fi; diff --git a/tests/sat/asserts.ys b/tests/sat/asserts.ys index d8f994925..7df8dade3 100644 --- a/tests/sat/asserts.ys +++ b/tests/sat/asserts.ys @@ -1,3 +1,3 @@ read_verilog -sv asserts.v -hierarchy; proc; opt +hierarchy; proc; opt; async2sync sat -verify -seq 1 -set-at 1 rst 1 -tempinduct -prove-asserts diff --git a/tests/sat/asserts_seq.ys b/tests/sat/asserts_seq.ys index e97686644..db94f94ea 100644 --- a/tests/sat/asserts_seq.ys +++ b/tests/sat/asserts_seq.ys @@ -1,5 +1,5 @@ read_verilog -sv asserts_seq.v -hierarchy; proc; opt +hierarchy; proc; opt; async2sync sat -verify -prove-asserts -tempinduct -seq 1 test_001 sat -falsify -prove-asserts -tempinduct -seq 1 test_002 diff --git a/tests/sat/initval.ys b/tests/sat/initval.ys index 1436724b0..6fa94d52b 100644 --- a/tests/sat/initval.ys +++ b/tests/sat/initval.ys @@ -1,5 +1,5 @@ read_verilog -sv initval.v -proc;; +proc; async2sync;; sat -seq 10 -prove-asserts diff --git a/tests/sat/sizebits.ys b/tests/sat/sizebits.ys index 689227a41..61e1d6f70 100644 --- a/tests/sat/sizebits.ys +++ b/tests/sat/sizebits.ys @@ -1,2 +1,2 @@ read_verilog -sv sizebits.sv -prep; sat -verify -prove-asserts +prep; async2sync; sat -verify -prove-asserts diff --git a/tests/svtypes/enum_simple.ys b/tests/svtypes/enum_simple.ys index 79981657b..36922f5e0 100644 --- a/tests/svtypes/enum_simple.ys +++ b/tests/svtypes/enum_simple.ys @@ -1,5 +1,5 @@ read_verilog -sv enum_simple.sv -hierarchy; proc; opt +hierarchy; proc; opt; async2sync sat -verify -seq 1 -set-at 1 rst 1 -tempinduct -prove-asserts -show-all diff --git a/tests/svtypes/struct_dynamic_range.ys b/tests/svtypes/struct_dynamic_range.ys index 9606e7384..c090b6e7c 100644 --- a/tests/svtypes/struct_dynamic_range.ys +++ b/tests/svtypes/struct_dynamic_range.ys @@ -4,4 +4,5 @@ select -assert-count 2 t:$shift select -assert-count 2 t:$shiftx prep -top top flatten +async2sync sat -enable_undef -verify -prove-asserts diff --git a/tests/svtypes/typedef_initial_and_assign.ys b/tests/svtypes/typedef_initial_and_assign.ys index de456bb82..e778a49bb 100644 --- a/tests/svtypes/typedef_initial_and_assign.ys +++ b/tests/svtypes/typedef_initial_and_assign.ys @@ -9,6 +9,6 @@ logger -expect warning "reg '\\var_18' is assigned in a continuous assignment" 1 logger -expect warning "reg '\\var_19' is assigned in a continuous assignment" 1 read_verilog -sv typedef_initial_and_assign.sv -hierarchy; proc; opt +hierarchy; proc; opt; async2sync select -module top -sat -verify -seq 1 -tempinduct -prove-asserts -show-all \ No newline at end of file +sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/svtypes/typedef_struct_port.ys b/tests/svtypes/typedef_struct_port.ys index 5b75c3105..dd0775b9f 100644 --- a/tests/svtypes/typedef_struct_port.ys +++ b/tests/svtypes/typedef_struct_port.ys @@ -1,5 +1,5 @@ read_verilog -sv typedef_struct_port.sv -hierarchy; proc; opt +hierarchy; proc; opt; async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all select -module test_parser diff --git a/tests/various/chformal_coverenable.ys b/tests/various/chformal_coverenable.ys index 52b3ee6bf..c052f6dba 100644 --- a/tests/various/chformal_coverenable.ys +++ b/tests/various/chformal_coverenable.ys @@ -13,6 +13,8 @@ EOT prep -top top +async2sync + select -assert-count 1 t:$cover chformal -cover -coverenable diff --git a/tests/various/chparam.sh b/tests/various/chparam.sh index d7712b807..0c237112e 100644 --- a/tests/various/chparam.sh +++ b/tests/various/chparam.sh @@ -37,14 +37,17 @@ EOT if ../../yosys -q -p 'verific -sv chparam1.sv'; then ../../yosys -q -p 'verific -sv chparam1.sv; hierarchy -chparam X 123123123 -top top; prep -flatten' \ + -p 'async2sync' \ -p 'sat -verify -prove-asserts -show-ports -set din[0] 1' \ -p 'sat -falsify -prove-asserts -show-ports -set din[0] 0' ../../yosys -q -p 'verific -sv chparam2.sv; hierarchy -chparam X 123123123 -top top; prep -flatten' \ + -p 'async2sync' \ -p 'sat -verify -prove-asserts -show-ports -set din[0] 1' \ -p 'sat -falsify -prove-asserts -show-ports -set din[0] 0' fi ../../yosys -q -p 'read_verilog -sv chparam2.sv; hierarchy -chparam X 123123123 -top top; prep -flatten' \ + -p 'async2sync' \ -p 'sat -verify -prove-asserts -show-ports -set din[0] 1' \ -p 'sat -falsify -prove-asserts -show-ports -set din[0] 0' diff --git a/tests/various/const_arg_loop.ys b/tests/various/const_arg_loop.ys index 392532213..01bea7044 100644 --- a/tests/various/const_arg_loop.ys +++ b/tests/various/const_arg_loop.ys @@ -3,4 +3,5 @@ hierarchy proc opt -full select -module top +async2sync sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/various/const_func.ys b/tests/various/const_func.ys index 2f60acfe6..d982c3a43 100644 --- a/tests/various/const_func.ys +++ b/tests/various/const_func.ys @@ -4,4 +4,5 @@ proc flatten opt -full select -module top +async2sync sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/various/countbits.ys b/tests/various/countbits.ys index a556f7c5d..f2db9cfe1 100644 --- a/tests/various/countbits.ys +++ b/tests/various/countbits.ys @@ -4,4 +4,5 @@ proc flatten opt -full select -module top +async2sync sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/various/param_struct.ys b/tests/various/param_struct.ys index b8de67968..bb26b61d5 100644 --- a/tests/various/param_struct.ys +++ b/tests/various/param_struct.ys @@ -47,4 +47,5 @@ end endmodule EOF hierarchy; proc; opt +async2sync sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/various/struct_access.ys b/tests/various/struct_access.ys index 2282edd92..43a2ac8b8 100644 --- a/tests/various/struct_access.ys +++ b/tests/various/struct_access.ys @@ -2,4 +2,5 @@ read_verilog -sv struct_access.sv hierarchy proc opt +async2sync sat -verify -seq 1 -prove-asserts -show-all diff --git a/tests/verilog/asgn_expr.ys b/tests/verilog/asgn_expr.ys index 18180c785..78c005228 100644 --- a/tests/verilog/asgn_expr.ys +++ b/tests/verilog/asgn_expr.ys @@ -1,3 +1,4 @@ read_verilog -sv asgn_expr.sv proc +async2sync sat -verify -prove-asserts -show-all diff --git a/tests/verilog/atom_type_signedness.ys b/tests/verilog/atom_type_signedness.ys index 22bbe6efc..c8a82f993 100644 --- a/tests/verilog/atom_type_signedness.ys +++ b/tests/verilog/atom_type_signedness.ys @@ -14,6 +14,6 @@ always_comb begin end endmodule EOT -hierarchy; proc; opt +hierarchy; proc; opt; async2sync select -module dut sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/bug2042-sv.ys b/tests/verilog/bug2042-sv.ys index 91989f412..c3b904eb4 100644 --- a/tests/verilog/bug2042-sv.ys +++ b/tests/verilog/bug2042-sv.ys @@ -17,6 +17,7 @@ output reg b endmodule EOT proc +async2sync sat -verify -prove-asserts @@ -42,6 +43,7 @@ output b, c endmodule EOT proc +async2sync sat -verify -prove-asserts diff --git a/tests/verilog/func_tern_hint.ys b/tests/verilog/func_tern_hint.ys index ab8a1e032..dfbd13bdf 100644 --- a/tests/verilog/func_tern_hint.ys +++ b/tests/verilog/func_tern_hint.ys @@ -1,4 +1,5 @@ read_verilog -sv func_tern_hint.sv proc opt +async2sync sat -verify -seq 1 -prove-asserts -show-all diff --git a/tests/verilog/func_upto.ys b/tests/verilog/func_upto.ys index 7a8c53506..df986a0ba 100644 --- a/tests/verilog/func_upto.ys +++ b/tests/verilog/func_upto.ys @@ -3,5 +3,6 @@ hierarchy -top top proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -prove-asserts -enable_undef diff --git a/tests/verilog/int_types.ys b/tests/verilog/int_types.ys index c17c44b4c..344f3ee09 100644 --- a/tests/verilog/int_types.ys +++ b/tests/verilog/int_types.ys @@ -3,5 +3,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/mem_bounds.ys b/tests/verilog/mem_bounds.ys index 42623ad09..146a6f433 100644 --- a/tests/verilog/mem_bounds.ys +++ b/tests/verilog/mem_bounds.ys @@ -3,4 +3,5 @@ proc flatten opt -full select -module top +async2sync sat -verify -seq 1 -tempinduct -prove-asserts -show-all -enable_undef diff --git a/tests/verilog/net_types.ys b/tests/verilog/net_types.ys index 9f75812ea..b3a0b2b38 100644 --- a/tests/verilog/net_types.ys +++ b/tests/verilog/net_types.ys @@ -2,4 +2,5 @@ read_verilog -sv net_types.sv hierarchy proc opt -full +async2sync sat -verify -prove-asserts -show-all diff --git a/tests/verilog/package_task_func.ys b/tests/verilog/package_task_func.ys index c94cc2acb..0066946ae 100644 --- a/tests/verilog/package_task_func.ys +++ b/tests/verilog/package_task_func.ys @@ -1,4 +1,5 @@ read_verilog -sv package_task_func.sv proc opt -full +async2sync sat -verify -seq 1 -prove-asserts -show-all diff --git a/tests/verilog/param_no_default.ys b/tests/verilog/param_no_default.ys index 7f161a909..cc34c6a53 100644 --- a/tests/verilog/param_no_default.ys +++ b/tests/verilog/param_no_default.ys @@ -3,5 +3,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/parameters_across_files.ys b/tests/verilog/parameters_across_files.ys index c53e40179..94565eb67 100644 --- a/tests/verilog/parameters_across_files.ys +++ b/tests/verilog/parameters_across_files.ys @@ -16,5 +16,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/past_signedness.ys b/tests/verilog/past_signedness.ys index 91f32328b..65b9f69e4 100644 --- a/tests/verilog/past_signedness.ys +++ b/tests/verilog/past_signedness.ys @@ -14,6 +14,7 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk design -reset @@ -32,4 +33,5 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk diff --git a/tests/verilog/prefix.ys b/tests/verilog/prefix.ys index ed3b3a111..399a985ae 100644 --- a/tests/verilog/prefix.ys +++ b/tests/verilog/prefix.ys @@ -2,4 +2,5 @@ read_verilog -sv prefix.sv hierarchy proc select -module top +async2sync sat -verify -seq 1 -prove-asserts -show-all diff --git a/tests/verilog/sign_array_query.ys b/tests/verilog/sign_array_query.ys index f955450b7..b545b4015 100644 --- a/tests/verilog/sign_array_query.ys +++ b/tests/verilog/sign_array_query.ys @@ -14,6 +14,7 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk design -reset @@ -32,6 +33,7 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk design -reset @@ -49,4 +51,5 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk diff --git a/tests/verilog/size_cast.ys b/tests/verilog/size_cast.ys index 6890cd2d5..e3fb4b0c7 100644 --- a/tests/verilog/size_cast.ys +++ b/tests/verilog/size_cast.ys @@ -2,4 +2,5 @@ read_verilog -sv size_cast.sv proc opt -full select -module top +async2sync sat -verify -prove-asserts -show-all diff --git a/tests/verilog/struct_access.ys b/tests/verilog/struct_access.ys index 29d569c01..c115f4ede 100644 --- a/tests/verilog/struct_access.ys +++ b/tests/verilog/struct_access.ys @@ -1,4 +1,5 @@ read_verilog -formal -sv struct_access.sv proc opt -full +async2sync sat -verify -seq 1 -prove-asserts -show-all diff --git a/tests/verilog/typedef_across_files.ys b/tests/verilog/typedef_across_files.ys index ca9bba736..baa4b7919 100644 --- a/tests/verilog/typedef_across_files.ys +++ b/tests/verilog/typedef_across_files.ys @@ -19,5 +19,6 @@ EOF proc opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/typedef_legacy_conflict.ys b/tests/verilog/typedef_legacy_conflict.ys index 8dff4ec5f..dd1503a85 100644 --- a/tests/verilog/typedef_legacy_conflict.ys +++ b/tests/verilog/typedef_legacy_conflict.ys @@ -33,5 +33,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/unbased_unsized.ys b/tests/verilog/unbased_unsized.ys index e1bc99c64..75d1bf5e4 100644 --- a/tests/verilog/unbased_unsized.ys +++ b/tests/verilog/unbased_unsized.ys @@ -3,5 +3,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/unbased_unsized_shift.ys b/tests/verilog/unbased_unsized_shift.ys index c36049600..2b5b9d8d0 100644 --- a/tests/verilog/unbased_unsized_shift.ys +++ b/tests/verilog/unbased_unsized_shift.ys @@ -3,5 +3,6 @@ hierarchy proc flatten opt -full +async2sync select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all diff --git a/tests/verilog/unreachable_case_sign.ys b/tests/verilog/unreachable_case_sign.ys index 25bc0c6f0..569c8a313 100644 --- a/tests/verilog/unreachable_case_sign.ys +++ b/tests/verilog/unreachable_case_sign.ys @@ -12,6 +12,7 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk design -reset @@ -29,5 +30,6 @@ endmodule EOT prep -top top +async2sync sim -n 3 -clock clk From 6c4902313bc76bae367444fe6e363bb340cf084e Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 22 Jan 2024 18:30:24 +0100 Subject: [PATCH 047/159] chformal: Support $check cells and add chformal -lower This adds support for `$check` cells in chformal and adds a `-lower` mode which converts `$check` cells into `$assert` etc. cells with a `$print` cell to output the `$check` message. --- passes/cmds/chformal.cc | 183 ++++++++++++++++++++++++++++++++++------ 1 file changed, 158 insertions(+), 25 deletions(-) diff --git a/passes/cmds/chformal.cc b/passes/cmds/chformal.cc index da97ff71d..e027103bb 100644 --- a/passes/cmds/chformal.cc +++ b/passes/cmds/chformal.cc @@ -23,6 +23,52 @@ USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN +static RTLIL::IdString formal_flavor(RTLIL::Cell *cell) +{ + if (cell->type != ID($check)) + return cell->type; + + std::string flavor_param = cell->getParam(ID(FLAVOR)).decode_string(); + if (flavor_param == "assert") + return ID($assert); + else if (flavor_param == "assume") + return ID($assume); + else if (flavor_param == "cover") + return ID($cover); + else if (flavor_param == "live") + return ID($live); + else if (flavor_param == "fair") + return ID($fair); + else + log_abort(); +} + +static void set_formal_flavor(RTLIL::Cell *cell, RTLIL::IdString flavor) +{ + if (cell->type != ID($check)) { + cell->type = flavor; + return; + } + + if (flavor == ID($assert)) + cell->setParam(ID(FLAVOR), std::string("assert")); + else if (flavor == ID($assume)) + cell->setParam(ID(FLAVOR), std::string("assume")); + else if (flavor == ID($cover)) + cell->setParam(ID(FLAVOR), std::string("cover")); + else if (flavor == ID($live)) + cell->setParam(ID(FLAVOR), std::string("live")); + else if (flavor == ID($fair)) + cell->setParam(ID(FLAVOR), std::string("fair")); + else + log_abort(); +} + +static bool is_triggered_check_cell(RTLIL::Cell * cell) +{ + return cell->type == ID($check) && cell->getParam(ID(TRG_ENABLE)).as_bool(); +} + struct ChformalPass : public Pass { ChformalPass() : Pass("chformal", "change formal constraints of the design") { } void help() override @@ -41,13 +87,18 @@ struct ChformalPass : public Pass { log(" -fair $fair cells, representing assume(s_eventually ...)\n"); log(" -cover $cover cells, representing cover() statements\n"); log("\n"); + log(" Additionally chformal will operate on $check cells corresponding to the\n"); + log(" selected constraint types.\n"); + log("\n"); log("Exactly one of the following modes must be specified:\n"); log("\n"); log(" -remove\n"); log(" remove the cells and thus constraints from the design\n"); log("\n"); log(" -early\n"); - log(" bypass FFs that only delay the activation of a constraint\n"); + log(" bypass FFs that only delay the activation of a constraint. When inputs\n"); + log(" of the bypassed FFs do not remain stable between clock edges, this may\n"); + log(" result in unexpected behavior.\n"); log("\n"); log(" -delay \n"); log(" delay activation of the constraint by clock cycles\n"); @@ -69,6 +120,11 @@ struct ChformalPass : public Pass { log(" -fair2live\n"); log(" change the roles of cells as indicated. these options can be combined\n"); log("\n"); + log(" -lower\n"); + log(" convert each $check cell into an $assert, $assume, $live, $fair or\n"); + log(" $cover cell. If the $check cell contains a message, also produce a\n"); + log(" $print cell.\n"); + log("\n"); } void execute(std::vector args, RTLIL::Design *design) override { @@ -146,6 +202,10 @@ struct ChformalPass : public Pass { mode = 'c'; continue; } + if (mode == 0 && args[argidx] == "-lower") { + mode = 'l'; + continue; + } break; } extra_args(args, argidx, design); @@ -166,7 +226,7 @@ struct ChformalPass : public Pass { vector constr_cells; for (auto cell : module->selected_cells()) - if (constr_types.count(cell->type)) + if (constr_types.count(formal_flavor(cell))) constr_cells.push_back(cell); if (mode == 'r') @@ -216,6 +276,18 @@ struct ChformalPass : public Pass { } for (auto cell : constr_cells) + { + if (is_triggered_check_cell(cell)) { + if (cell->getParam(ID::TRG_WIDTH).as_int() != 1) + continue; + cell->setPort(ID::TRG, SigSpec()); + cell->setParam(ID::TRG_ENABLE, false); + cell->setParam(ID::TRG_WIDTH, 0); + cell->setParam(ID::TRG_POLARITY, false); + } + + IdString flavor = formal_flavor(cell); + while (true) { SigSpec A = sigmap(cell->getPort(ID::A)); @@ -225,8 +297,8 @@ struct ChformalPass : public Pass { break; if (!init_zero.count(EN)) { - if (cell->type == ID($cover)) break; - if (cell->type.in(ID($assert), ID($assume)) && !init_one.count(A)) break; + if (flavor == ID($cover)) break; + if (flavor.in(ID($assert), ID($assume)) && !init_one.count(A)) break; } const auto &A_map = ffmap.at(A); @@ -238,25 +310,31 @@ struct ChformalPass : public Pass { cell->setPort(ID::A, A_map.first); cell->setPort(ID::EN, EN_map.first); } + } } else if (mode == 'd') { for (auto cell : constr_cells) - for (int i = 0; i < mode_arg; i++) { - SigSpec orig_a = cell->getPort(ID::A); - SigSpec orig_en = cell->getPort(ID::EN); + if (is_triggered_check_cell(cell)) + log_error("Cannot delay edge triggered $check cell %s, run async2sync or clk2fflogic first.\n", log_id(cell)); - Wire *new_a = module->addWire(NEW_ID); - Wire *new_en = module->addWire(NEW_ID); - new_en->attributes[ID::init] = State::S0; + for (int i = 0; i < mode_arg; i++) + { + SigSpec orig_a = cell->getPort(ID::A); + SigSpec orig_en = cell->getPort(ID::EN); - module->addFf(NEW_ID, orig_a, new_a); - module->addFf(NEW_ID, orig_en, new_en); + Wire *new_a = module->addWire(NEW_ID); + Wire *new_en = module->addWire(NEW_ID); + new_en->attributes[ID::init] = State::S0; - cell->setPort(ID::A, new_a); - cell->setPort(ID::EN, new_en); + module->addFf(NEW_ID, orig_a, new_a); + module->addFf(NEW_ID, orig_en, new_en); + + cell->setPort(ID::A, new_a); + cell->setPort(ID::EN, new_en); + } } } else @@ -278,21 +356,76 @@ struct ChformalPass : public Pass { if (mode =='p') { for (auto cell : constr_cells) - module->addCover(NEW_ID_SUFFIX("coverenable"), - cell->getPort(ID::EN), State::S1, cell->get_src_attribute()); + { + if (cell->type == ID($check)) { + Cell *cover = module->addCell(NEW_ID_SUFFIX("coverenable"), ID($check)); + cover->attributes = cell->attributes; + cover->parameters = cell->parameters; + cover->setParam(ID(FLAVOR), Const("cover")); + + for (auto const &conn : cell->connections()) + if (!conn.first.in(ID::A, ID::EN)) + cover->setPort(conn.first, conn.second); + cover->setPort(ID::A, cell->getPort(ID::EN)); + cover->setPort(ID::EN, State::S1); + } else { + module->addCover(NEW_ID_SUFFIX("coverenable"), + cell->getPort(ID::EN), State::S1, cell->get_src_attribute()); + } + } } else if (mode == 'c') { - for (auto cell : constr_cells) - if (assert2assume && cell->type == ID($assert)) - cell->type = ID($assume); - else if (assume2assert && cell->type == ID($assume)) - cell->type = ID($assert); - else if (live2fair && cell->type == ID($live)) - cell->type = ID($fair); - else if (fair2live && cell->type == ID($fair)) - cell->type = ID($live); + for (auto cell : constr_cells) { + IdString flavor = formal_flavor(cell); + if (assert2assume && flavor == ID($assert)) + set_formal_flavor(cell, ID($assume)); + else if (assume2assert && flavor == ID($assume)) + set_formal_flavor(cell, ID($assert)); + else if (live2fair && flavor == ID($live)) + set_formal_flavor(cell, ID($fair)); + else if (fair2live && flavor == ID($fair)) + set_formal_flavor(cell, ID($live)); + } + } + else + if (mode == 'l') + { + for (auto cell : constr_cells) { + if (cell->type != ID($check)) + continue; + + if (is_triggered_check_cell(cell)) + log_error("Cannot lower edge triggered $check cell %s, run async2sync or clk2fflogic first.\n", log_id(cell)); + + + Cell *plain_cell = module->addCell(NEW_ID, formal_flavor(cell)); + + plain_cell->attributes = cell->attributes; + + SigBit sig_a = cell->getPort(ID::A); + SigBit sig_en = cell->getPort(ID::EN); + + plain_cell->setPort(ID::A, sig_a); + plain_cell->setPort(ID::EN, sig_en); + + if (plain_cell->type.in(ID($assert), ID($assume))) + sig_a = module->Not(NEW_ID, sig_a); + + SigBit combined_en = module->And(NEW_ID, sig_a, sig_en); + + module->swap_names(cell, plain_cell); + + if (cell->getPort(ID::ARGS).empty()) { + module->remove(cell); + } else { + cell->type = ID($print); + cell->setPort(ID::EN, combined_en); + cell->unsetPort(ID::A); + cell->unsetParam(ID(FLAVOR)); + } + } } } } From e1a59ba80b123bf28f2185c91b051895903493ce Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 22 Jan 2024 18:32:23 +0100 Subject: [PATCH 048/159] async2sync, clk2fflogic: Add support for $check and $print cells --- passes/sat/async2sync.cc | 73 +++++++++- passes/sat/clk2fflogic.cc | 191 +++++++++++++++++--------- tests/various/chformal_coverenable.ys | 2 + 3 files changed, 197 insertions(+), 69 deletions(-) diff --git a/passes/sat/async2sync.cc b/passes/sat/async2sync.cc index 6fdf470b1..93c7e96c8 100644 --- a/passes/sat/async2sync.cc +++ b/passes/sat/async2sync.cc @@ -41,31 +41,88 @@ struct Async2syncPass : public Pass { log("reset value in the next cycle regardless of the data-in value at the time of\n"); log("the clock edge.\n"); log("\n"); + log(" -nolower\n"); + log(" Do not automatically run 'chformal -lower' to lower $check cells.\n"); + log("\n"); } void execute(std::vector args, RTLIL::Design *design) override { - // bool flag_noinit = false; + bool flag_nolower = false; log_header(design, "Executing ASYNC2SYNC pass.\n"); size_t argidx; for (argidx = 1; argidx < args.size(); argidx++) { - // if (args[argidx] == "-noinit") { - // flag_noinit = true; - // continue; - // } + if (args[argidx] == "-nolower") { + flag_nolower = true; + continue; + } break; } extra_args(args, argidx, design); + bool have_check_cells = false; + for (auto module : design->selected_modules()) { SigMap sigmap(module); FfInitVals initvals(&sigmap, module); + SigBit initstate; + for (auto cell : vector(module->selected_cells())) { + if (cell->type.in(ID($print), ID($check))) + { + if (cell->type == ID($check)) + have_check_cells = true; + + bool trg_enable = cell->getParam(ID(TRG_ENABLE)).as_bool(); + if (!trg_enable) + continue; + + int trg_width = cell->getParam(ID(TRG_WIDTH)).as_int(); + + if (trg_width > 1) + log_error("$check cell %s with TRG_WIDTH > 1 is not support by async2sync, use clk2fflogic.\n", log_id(cell)); + + if (trg_width == 0) { + if (initstate == State::S0) + initstate = module->Initstate(NEW_ID); + + SigBit sig_en = cell->getPort(ID::EN); + cell->setPort(ID::EN, module->And(NEW_ID, sig_en, initstate)); + } else { + SigBit sig_en = cell->getPort(ID::EN); + SigSpec sig_args = cell->getPort(ID::ARGS); + bool trg_polarity = cell->getParam(ID(TRG_POLARITY)).as_bool(); + SigBit sig_trg = cell->getPort(ID::TRG); + Wire *sig_en_q = module->addWire(NEW_ID); + Wire *sig_args_q = module->addWire(NEW_ID, GetSize(sig_args)); + sig_en_q->attributes.emplace(ID::init, State::S0); + module->addDff(NEW_ID, sig_trg, sig_en, sig_en_q, trg_polarity, cell->get_src_attribute()); + module->addDff(NEW_ID, sig_trg, sig_args, sig_args_q, trg_polarity, cell->get_src_attribute()); + cell->setPort(ID::EN, sig_en_q); + cell->setPort(ID::ARGS, sig_args_q); + if (cell->type == ID($check)) { + SigBit sig_a = cell->getPort(ID::A); + Wire *sig_a_q = module->addWire(NEW_ID); + sig_a_q->attributes.emplace(ID::init, State::S1); + module->addDff(NEW_ID, sig_trg, sig_a, sig_a_q, trg_polarity, cell->get_src_attribute()); + cell->setPort(ID::A, sig_a_q); + } + } + + cell->setPort(ID::TRG, SigSpec()); + + cell->setParam(ID::TRG_ENABLE, false); + cell->setParam(ID::TRG_WIDTH, 0); + cell->setParam(ID::TRG_POLARITY, false); + cell->set_bool_attribute(ID(trg_on_gclk)); + continue; + } + if (!RTLIL::builtin_ff_cell_types().count(cell->type)) continue; @@ -273,6 +330,12 @@ struct Async2syncPass : public Pass { ff.emit(); } } + + if (have_check_cells && !flag_nolower) { + log_push(); + Pass::call(design, "chformal -lower"); + log_pop(); + } } } Async2syncPass; diff --git a/passes/sat/clk2fflogic.cc b/passes/sat/clk2fflogic.cc index 3dc96ecce..2c0e13f85 100644 --- a/passes/sat/clk2fflogic.cc +++ b/passes/sat/clk2fflogic.cc @@ -48,6 +48,9 @@ struct Clk2fflogicPass : public Pass { log("reset value in the next cycle regardless of the data-in value at the time of\n"); log("the clock edge.\n"); log("\n"); + log(" -nolower\n"); + log(" Do not automatically run 'chformal -lower' to lower $check cells.\n"); + log("\n"); } // Active-high sampled and current value of a level-triggered control signal. Initial sampled values is low/non-asserted. SampledSig sample_control(Module *module, SigSpec sig, bool polarity, bool is_fine) { @@ -117,21 +120,23 @@ struct Clk2fflogicPass : public Pass { } void execute(std::vector args, RTLIL::Design *design) override { - // bool flag_noinit = false; + bool flag_nolower = false; log_header(design, "Executing CLK2FFLOGIC pass (convert clocked FFs to generic $ff cells).\n"); size_t argidx; for (argidx = 1; argidx < args.size(); argidx++) { - // if (args[argidx] == "-noinit") { - // flag_noinit = true; - // continue; - // } + if (args[argidx] == "-nolower") { + flag_nolower = true; + continue; + } break; } extra_args(args, argidx, design); + bool have_check_cells = false; + for (auto module : design->selected_modules()) { SigMap sigmap(module); @@ -194,79 +199,137 @@ struct Clk2fflogicPass : public Pass { mem.emit(); } + SigBit initstate; + for (auto cell : vector(module->selected_cells())) { - SigSpec qval; - if (RTLIL::builtin_ff_cell_types().count(cell->type)) { - FfData ff(&initvals, cell); + if (cell->type.in(ID($print), ID($check))) + { + if (cell->type == ID($check)) + have_check_cells = true; - if (ff.has_gclk) { - // Already a $ff or $_FF_ cell. + bool trg_enable = cell->getParam(ID(TRG_ENABLE)).as_bool(); + if (!trg_enable) continue; - } - if (ff.has_clk) { - log("Replacing %s.%s (%s): CLK=%s, D=%s, Q=%s\n", - log_id(module), log_id(cell), log_id(cell->type), - log_signal(ff.sig_clk), log_signal(ff.sig_d), log_signal(ff.sig_q)); - } else if (ff.has_aload) { - log("Replacing %s.%s (%s): EN=%s, D=%s, Q=%s\n", - log_id(module), log_id(cell), log_id(cell->type), - log_signal(ff.sig_aload), log_signal(ff.sig_ad), log_signal(ff.sig_q)); + int trg_width = cell->getParam(ID(TRG_WIDTH)).as_int(); + + if (trg_width == 0) { + if (initstate == State::S0) + initstate = module->Initstate(NEW_ID); + + SigBit sig_en = cell->getPort(ID::EN); + cell->setPort(ID::EN, module->And(NEW_ID, sig_en, initstate)); } else { - // $sr. - log("Replacing %s.%s (%s): SET=%s, CLR=%s, Q=%s\n", - log_id(module), log_id(cell), log_id(cell->type), - log_signal(ff.sig_set), log_signal(ff.sig_clr), log_signal(ff.sig_q)); + SigBit sig_en = cell->getPort(ID::EN); + SigSpec sig_args = cell->getPort(ID::ARGS); + Const trg_polarity = cell->getParam(ID(TRG_POLARITY)); + SigSpec sig_trg = cell->getPort(ID::TRG); + + SigSpec sig_trg_sampled; + + for (auto const &bit : sig_trg) + sig_trg_sampled.append(sample_control_edge(module, bit, trg_polarity[GetSize(sig_trg_sampled)] == State::S1, false)); + SigSpec sig_args_sampled = sample_data(module, sig_args, Const(State::S0, GetSize(sig_args)), false, false).sampled; + SigBit sig_en_sampled = sample_data(module, sig_en, State::S0, false, false).sampled; + + SigBit sig_trg_combined = module->ReduceOr(NEW_ID, sig_trg_sampled); + + cell->setPort(ID::EN, module->And(NEW_ID, sig_en_sampled, sig_trg_combined)); + cell->setPort(ID::ARGS, sig_args_sampled); + if (cell->type == ID($check)) { + SigBit sig_a_sampled = sample_data(module, sig_en, State::S1, false, false).sampled; + cell->setPort(ID::A, sig_a_sampled); + } } - ff.remove(); + cell->setPort(ID::TRG, SigSpec()); - if (ff.has_clk) - ff.unmap_ce_srst(); + cell->setParam(ID::TRG_ENABLE, false); + cell->setParam(ID::TRG_WIDTH, 0); + cell->setParam(ID::TRG_POLARITY, false); + cell->set_bool_attribute(ID(trg_on_gclk)); - auto next_q = sample_data(module, ff.sig_q, ff.val_init, ff.is_fine, true).sampled; - - if (ff.has_clk) { - // The init value for the sampled d is never used, so we can set it to fixed zero, reducing uninit'd FFs - auto sampled_d = sample_data(module, ff.sig_d, RTLIL::Const(State::S0, ff.width), ff.is_fine); - auto clk_edge = sample_control_edge(module, ff.sig_clk, ff.pol_clk, ff.is_fine); - next_q = mux(module, next_q, sampled_d.sampled, clk_edge, ff.is_fine); - } - - SampledSig sampled_aload, sampled_ad, sampled_set, sampled_clr, sampled_arst; - // The check for a constant sig_aload is also done by opt_dff, but when using verific and running - // clk2fflogic before opt_dff (which does more and possibly unwanted optimizations) this check avoids - // generating a lot of extra logic. - bool has_nonconst_aload = ff.has_aload && ff.sig_aload != (ff.pol_aload ? State::S0 : State::S1); - if (has_nonconst_aload) { - sampled_aload = sample_control(module, ff.sig_aload, ff.pol_aload, ff.is_fine); - // The init value for the sampled ad is never used, so we can set it to fixed zero, reducing uninit'd FFs - sampled_ad = sample_data(module, ff.sig_ad, RTLIL::Const(State::S0, ff.width), ff.is_fine); - } - if (ff.has_sr) { - sampled_set = sample_control(module, ff.sig_set, ff.pol_set, ff.is_fine); - sampled_clr = sample_control(module, ff.sig_clr, ff.pol_clr, ff.is_fine); - } - if (ff.has_arst) - sampled_arst = sample_control(module, ff.sig_arst, ff.pol_arst, ff.is_fine); - - // First perform updates using _only_ sampled values, then again using _only_ current values. Unlike the previous - // implementation, this approach correctly handles all the cases of multiple signals changing simultaneously. - for (int current = 0; current < 2; current++) { - if (has_nonconst_aload) - next_q = mux(module, next_q, sampled_ad[current], sampled_aload[current], ff.is_fine); - if (ff.has_sr) - next_q = bitwise_sr(module, next_q, sampled_set[current], sampled_clr[current], ff.is_fine); - if (ff.has_arst) - next_q = mux(module, next_q, ff.val_arst, sampled_arst[current], ff.is_fine); - } - - module->connect(ff.sig_q, next_q); + continue; } + + if (!RTLIL::builtin_ff_cell_types().count(cell->type)) + continue; + + FfData ff(&initvals, cell); + + if (ff.has_gclk) { + // Already a $ff or $_FF_ cell. + continue; + } + + if (ff.has_clk) { + log("Replacing %s.%s (%s): CLK=%s, D=%s, Q=%s\n", + log_id(module), log_id(cell), log_id(cell->type), + log_signal(ff.sig_clk), log_signal(ff.sig_d), log_signal(ff.sig_q)); + } else if (ff.has_aload) { + log("Replacing %s.%s (%s): EN=%s, D=%s, Q=%s\n", + log_id(module), log_id(cell), log_id(cell->type), + log_signal(ff.sig_aload), log_signal(ff.sig_ad), log_signal(ff.sig_q)); + } else { + // $sr. + log("Replacing %s.%s (%s): SET=%s, CLR=%s, Q=%s\n", + log_id(module), log_id(cell), log_id(cell->type), + log_signal(ff.sig_set), log_signal(ff.sig_clr), log_signal(ff.sig_q)); + } + + ff.remove(); + + if (ff.has_clk) + ff.unmap_ce_srst(); + + auto next_q = sample_data(module, ff.sig_q, ff.val_init, ff.is_fine, true).sampled; + + if (ff.has_clk) { + // The init value for the sampled d is never used, so we can set it to fixed zero, reducing uninit'd FFs + auto sampled_d = sample_data(module, ff.sig_d, RTLIL::Const(State::S0, ff.width), ff.is_fine); + auto clk_edge = sample_control_edge(module, ff.sig_clk, ff.pol_clk, ff.is_fine); + next_q = mux(module, next_q, sampled_d.sampled, clk_edge, ff.is_fine); + } + + SampledSig sampled_aload, sampled_ad, sampled_set, sampled_clr, sampled_arst; + // The check for a constant sig_aload is also done by opt_dff, but when using verific and running + // clk2fflogic before opt_dff (which does more and possibly unwanted optimizations) this check avoids + // generating a lot of extra logic. + bool has_nonconst_aload = ff.has_aload && ff.sig_aload != (ff.pol_aload ? State::S0 : State::S1); + if (has_nonconst_aload) { + sampled_aload = sample_control(module, ff.sig_aload, ff.pol_aload, ff.is_fine); + // The init value for the sampled ad is never used, so we can set it to fixed zero, reducing uninit'd FFs + sampled_ad = sample_data(module, ff.sig_ad, RTLIL::Const(State::S0, ff.width), ff.is_fine); + } + if (ff.has_sr) { + sampled_set = sample_control(module, ff.sig_set, ff.pol_set, ff.is_fine); + sampled_clr = sample_control(module, ff.sig_clr, ff.pol_clr, ff.is_fine); + } + if (ff.has_arst) + sampled_arst = sample_control(module, ff.sig_arst, ff.pol_arst, ff.is_fine); + + // First perform updates using _only_ sampled values, then again using _only_ current values. Unlike the previous + // implementation, this approach correctly handles all the cases of multiple signals changing simultaneously. + for (int current = 0; current < 2; current++) { + if (has_nonconst_aload) + next_q = mux(module, next_q, sampled_ad[current], sampled_aload[current], ff.is_fine); + if (ff.has_sr) + next_q = bitwise_sr(module, next_q, sampled_set[current], sampled_clr[current], ff.is_fine); + if (ff.has_arst) + next_q = mux(module, next_q, ff.val_arst, sampled_arst[current], ff.is_fine); + } + + module->connect(ff.sig_q, next_q); + } } + if (have_check_cells && !flag_nolower) { + log_push(); + Pass::call(design, "chformal -lower"); + log_pop(); + } } } Clk2fflogicPass; diff --git a/tests/various/chformal_coverenable.ys b/tests/various/chformal_coverenable.ys index c052f6dba..efb197773 100644 --- a/tests/various/chformal_coverenable.ys +++ b/tests/various/chformal_coverenable.ys @@ -13,6 +13,8 @@ EOT prep -top top +design -save prep + async2sync select -assert-count 1 t:$cover From c7bf0e3b8f05f898974c00f05ce8e23aac296cac Mon Sep 17 00:00:00 2001 From: Catherine Date: Thu, 11 Jan 2024 09:39:28 +0000 Subject: [PATCH 049/159] Add new `$check` cell to represent assertions with a message. --- backends/cxxrtl/cxxrtl_backend.cc | 363 +++++++++++++++--------- backends/cxxrtl/runtime/cxxrtl/cxxrtl.h | 27 +- backends/verilog/verilog_backend.cc | 88 +++++- docs/source/CHAPTER_CellLib.rst | 6 +- frontends/ast/genrtlil.cc | 189 ++++++++---- frontends/ast/simplify.cc | 95 +------ kernel/celltypes.h | 1 + kernel/constids.inc | 1 + kernel/rtlil.cc | 22 ++ passes/hierarchy/hierarchy.cc | 2 +- passes/opt/opt_clean.cc | 2 +- techlibs/common/simlib.v | 26 +- 12 files changed, 516 insertions(+), 306 deletions(-) diff --git a/backends/cxxrtl/cxxrtl_backend.cc b/backends/cxxrtl/cxxrtl_backend.cc index d2bca3065..c60b43d3f 100644 --- a/backends/cxxrtl/cxxrtl_backend.cc +++ b/backends/cxxrtl/cxxrtl_backend.cc @@ -218,7 +218,7 @@ bool is_internal_cell(RTLIL::IdString type) bool is_effectful_cell(RTLIL::IdString type) { - return type.isPublic() || type == ID($print); + return type.in(ID($print), ID($check)); } bool is_cxxrtl_blackbox_cell(const RTLIL::Cell *cell) @@ -282,7 +282,7 @@ struct FlowGraph { CONNECT, CELL_SYNC, CELL_EVAL, - PRINT_SYNC, + EFFECT_SYNC, PROCESS_SYNC, PROCESS_CASE, MEM_RDPORT, @@ -292,7 +292,7 @@ struct FlowGraph { Type type; RTLIL::SigSig connect = {}; const RTLIL::Cell *cell = nullptr; - std::vector print_sync_cells; + std::vector cells; const RTLIL::Process *process = nullptr; const Mem *mem = nullptr; int portidx; @@ -480,11 +480,11 @@ struct FlowGraph { return node; } - Node *add_print_sync_node(std::vector cells) + Node *add_effect_sync_node(std::vector cells) { Node *node = new Node; - node->type = Node::Type::PRINT_SYNC; - node->print_sync_cells = cells; + node->type = Node::Type::EFFECT_SYNC; + node->cells = cells; nodes.push_back(node); return node; } @@ -1063,99 +1063,6 @@ struct CxxrtlWorker { f << ".val()"; } - void dump_print(const RTLIL::Cell *cell) - { - Fmt fmt = {}; - fmt.parse_rtlil(cell); - - f << indent << "if ("; - dump_sigspec_rhs(cell->getPort(ID::EN)); - f << " == value<1>{1u}) {\n"; - inc_indent(); - dict fmt_args; - f << indent << "struct : public lazy_fmt {\n"; - inc_indent(); - f << indent << "std::string operator() () const override {\n"; - inc_indent(); - fmt.emit_cxxrtl(f, indent, [&](const RTLIL::SigSpec &sig) { - if (sig.size() == 0) - f << "value<0>()"; - else { - std::string arg_name = "arg" + std::to_string(fmt_args.size()); - fmt_args[arg_name] = sig; - f << arg_name; - } - }, "performer"); - dec_indent(); - f << indent << "}\n"; - f << indent << "struct performer *performer;\n"; - for (auto arg : fmt_args) - f << indent << "value<" << arg.second.size() << "> " << arg.first << ";\n"; - dec_indent(); - f << indent << "} formatter;\n"; - f << indent << "formatter.performer = performer;\n"; - for (auto arg : fmt_args) { - f << indent << "formatter." << arg.first << " = "; - dump_sigspec_rhs(arg.second); - f << ";\n"; - } - f << indent << "if (performer) {\n"; - inc_indent(); - f << indent << "static const metadata_map attributes = "; - dump_metadata_map(cell->attributes); - f << ";\n"; - f << indent << "performer->on_print(formatter, attributes);\n"; - dec_indent(); - f << indent << "} else {\n"; - inc_indent(); - f << indent << print_output << " << formatter();\n"; - dec_indent(); - f << indent << "}\n"; - dec_indent(); - f << indent << "}\n"; - } - - void dump_sync_print(std::vector &cells) - { - log_assert(!cells.empty()); - const auto &trg = cells[0]->getPort(ID::TRG); - const auto &trg_polarity = cells[0]->getParam(ID::TRG_POLARITY); - - f << indent << "if ("; - for (int i = 0; i < trg.size(); i++) { - RTLIL::SigBit trg_bit = trg[i]; - trg_bit = sigmaps[trg_bit.wire->module](trg_bit); - log_assert(trg_bit.wire); - - if (i != 0) - f << " || "; - - if (trg_polarity[i] == State::S1) - f << "posedge_"; - else - f << "negedge_"; - f << mangle(trg_bit); - } - f << ") {\n"; - inc_indent(); - std::sort(cells.begin(), cells.end(), [](const RTLIL::Cell *a, const RTLIL::Cell *b) { - return a->getParam(ID::PRIORITY).as_int() > b->getParam(ID::PRIORITY).as_int(); - }); - for (auto cell : cells) { - log_assert(cell->getParam(ID::TRG_ENABLE).as_bool()); - log_assert(cell->getPort(ID::TRG) == trg); - log_assert(cell->getParam(ID::TRG_POLARITY) == trg_polarity); - - std::vector inlined_cells; - collect_cell_eval(cell, /*for_debug=*/false, inlined_cells); - dump_inlined_cells(inlined_cells); - dump_print(cell); - } - dec_indent(); - - f << indent << "}\n"; - } - void dump_inlined_cells(const std::vector &cells) { if (cells.empty()) { @@ -1309,6 +1216,144 @@ struct CxxrtlWorker { } } + void dump_print(const RTLIL::Cell *cell) + { + Fmt fmt; + fmt.parse_rtlil(cell); + + f << indent << "if ("; + dump_sigspec_rhs(cell->getPort(ID::EN)); + f << " == value<1>{1u}) {\n"; + inc_indent(); + dict fmt_args; + f << indent << "struct : public lazy_fmt {\n"; + inc_indent(); + f << indent << "std::string operator() () const override {\n"; + inc_indent(); + fmt.emit_cxxrtl(f, indent, [&](const RTLIL::SigSpec &sig) { + if (sig.size() == 0) + f << "value<0>()"; + else { + std::string arg_name = "arg" + std::to_string(fmt_args.size()); + fmt_args[arg_name] = sig; + f << arg_name; + } + }, "performer"); + dec_indent(); + f << indent << "}\n"; + f << indent << "struct performer *performer;\n"; + for (auto arg : fmt_args) + f << indent << "value<" << arg.second.size() << "> " << arg.first << ";\n"; + dec_indent(); + f << indent << "} formatter;\n"; + f << indent << "formatter.performer = performer;\n"; + for (auto arg : fmt_args) { + f << indent << "formatter." << arg.first << " = "; + dump_sigspec_rhs(arg.second); + f << ";\n"; + } + f << indent << "if (performer) {\n"; + inc_indent(); + f << indent << "static const metadata_map attributes = "; + dump_metadata_map(cell->attributes); + f << ";\n"; + f << indent << "performer->on_print(formatter, attributes);\n"; + dec_indent(); + f << indent << "} else {\n"; + inc_indent(); + f << indent << print_output << " << formatter();\n"; + dec_indent(); + f << indent << "}\n"; + dec_indent(); + f << indent << "}\n"; + } + + void dump_effect(const RTLIL::Cell *cell) + { + Fmt fmt; + fmt.parse_rtlil(cell); + + f << indent << "if ("; + dump_sigspec_rhs(cell->getPort(ID::EN)); + f << ") {\n"; + inc_indent(); + dict fmt_args; + f << indent << "struct : public lazy_fmt {\n"; + inc_indent(); + f << indent << "std::string operator() () const override {\n"; + inc_indent(); + fmt.emit_cxxrtl(f, indent, [&](const RTLIL::SigSpec &sig) { + if (sig.size() == 0) + f << "value<0>()"; + else { + std::string arg_name = "arg" + std::to_string(fmt_args.size()); + fmt_args[arg_name] = sig; + f << arg_name; + } + }, "performer"); + dec_indent(); + f << indent << "}\n"; + f << indent << "struct performer *performer;\n"; + for (auto arg : fmt_args) + f << indent << "value<" << arg.second.size() << "> " << arg.first << ";\n"; + dec_indent(); + f << indent << "} formatter;\n"; + f << indent << "formatter.performer = performer;\n"; + for (auto arg : fmt_args) { + f << indent << "formatter." << arg.first << " = "; + dump_sigspec_rhs(arg.second); + f << ";\n"; + } + if (cell->hasPort(ID::A)) { + f << indent << "bool condition = (bool)"; + dump_sigspec_rhs(cell->getPort(ID::A)); + f << ";\n"; + } + f << indent << "if (performer) {\n"; + inc_indent(); + f << indent << "static const metadata_map attributes = "; + dump_metadata_map(cell->attributes); + f << ";\n"; + if (cell->type == ID($print)) { + f << indent << "performer->on_print(formatter, attributes);\n"; + } else if (cell->type == ID($check)) { + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + f << indent << "performer->on_check("; + if (flavor == "assert") + f << "flavor::ASSERT"; + else if (flavor == "assume") + f << "flavor::ASSUME"; + else if (flavor == "live") + f << "flavor::ASSERT_EVENTUALLY"; + else if (flavor == "fair") + f << "flavor::ASSUME_EVENTUALLY"; + else if (flavor == "cover") + f << "flavor::COVER"; + else log_assert(false); + f << ", condition, formatter, attributes);\n"; + } else log_assert(false); + dec_indent(); + f << indent << "} else {\n"; + inc_indent(); + if (cell->type == ID($print)) { + f << indent << print_output << " << formatter();\n"; + } else if (cell->type == ID($check)) { + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + if (flavor == "assert" || flavor == "assume") { + f << indent << "if (!condition) {\n"; + inc_indent(); + f << indent << "std::cerr << formatter();\n"; + dec_indent(); + f << indent << "}\n"; + f << indent << "CXXRTL_ASSERT(condition && \"Check failed\");\n"; + } + } else log_assert(false); + dec_indent(); + f << indent << "}\n"; + dec_indent(); + f << indent << "}\n"; + } + void dump_cell_eval(const RTLIL::Cell *cell, bool for_debug = false) { std::vector inlined_cells; @@ -1322,30 +1367,34 @@ struct CxxrtlWorker { f << " = "; dump_cell_expr(cell, for_debug); f << ";\n"; - // $print cell - } else if (cell->type == ID($print)) { + // Effectful cells + } else if (is_effectful_cell(cell->type)) { log_assert(!for_debug); - // Sync $print cells are grouped into PRINT_SYNC nodes in the FlowGraph. + // Sync effectful cells are grouped into EFFECT_SYNC nodes in the FlowGraph. log_assert(!cell->getParam(ID::TRG_ENABLE).as_bool() || (cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0)); - if (!cell->getParam(ID::TRG_ENABLE).as_bool()) { // async $print cell - f << indent << "auto " << mangle(cell) << "_curr = "; + if (!cell->getParam(ID::TRG_ENABLE).as_bool()) { // async effectful cell + f << indent << "auto " << mangle(cell) << "_next = "; dump_sigspec_rhs(cell->getPort(ID::EN)); f << ".concat("; - dump_sigspec_rhs(cell->getPort(ID::ARGS)); + if (cell->type == ID($print)) + dump_sigspec_rhs(cell->getPort(ID::ARGS)); + else if (cell->type == ID($check)) + dump_sigspec_rhs(cell->getPort(ID::A)); + else log_assert(false); f << ").val();\n"; - f << indent << "if (" << mangle(cell) << " != " << mangle(cell) << "_curr) {\n"; + f << indent << "if (" << mangle(cell) << " != " << mangle(cell) << "_next) {\n"; inc_indent(); - dump_print(cell); - f << indent << mangle(cell) << " = " << mangle(cell) << "_curr;\n"; + dump_effect(cell); + f << indent << mangle(cell) << " = " << mangle(cell) << "_next;\n"; dec_indent(); f << indent << "}\n"; - } else { // initial $print cell + } else { // initial effectful cell f << indent << "if (!" << mangle(cell) << ") {\n"; inc_indent(); - dump_print(cell); + dump_effect(cell); f << indent << mangle(cell) << " = value<1>{1u};\n"; dec_indent(); f << indent << "}\n"; @@ -1728,6 +1777,47 @@ struct CxxrtlWorker { } } + void dump_cell_effect_sync(std::vector &cells) + { + log_assert(!cells.empty()); + const auto &trg = cells[0]->getPort(ID::TRG); + const auto &trg_polarity = cells[0]->getParam(ID::TRG_POLARITY); + + f << indent << "if ("; + for (int i = 0; i < trg.size(); i++) { + RTLIL::SigBit trg_bit = trg[i]; + trg_bit = sigmaps[trg_bit.wire->module](trg_bit); + log_assert(trg_bit.wire); + + if (i != 0) + f << " || "; + + if (trg_polarity[i] == State::S1) + f << "posedge_"; + else + f << "negedge_"; + f << mangle(trg_bit); + } + f << ") {\n"; + inc_indent(); + std::sort(cells.begin(), cells.end(), [](const RTLIL::Cell *a, const RTLIL::Cell *b) { + return a->getParam(ID::PRIORITY).as_int() > b->getParam(ID::PRIORITY).as_int(); + }); + for (auto cell : cells) { + log_assert(cell->getParam(ID::TRG_ENABLE).as_bool()); + log_assert(cell->getPort(ID::TRG) == trg); + log_assert(cell->getParam(ID::TRG_POLARITY) == trg_polarity); + + std::vector inlined_cells; + collect_cell_eval(cell, /*for_debug=*/false, inlined_cells); + dump_inlined_cells(inlined_cells); + dump_effect(cell); + } + dec_indent(); + + f << indent << "}\n"; + } + void dump_mem_rdport(const Mem *mem, int portidx, bool for_debug = false) { auto &port = mem->rd_ports[portidx]; @@ -2047,11 +2137,10 @@ struct CxxrtlWorker { } } for (auto cell : module->cells()) { - // Certain $print cells have additional state, which must be reset as well. - if (cell->type == ID($print) && !cell->getParam(ID::TRG_ENABLE).as_bool()) - f << indent << mangle(cell) << " = value<" << (1 + cell->getParam(ID::ARGS_WIDTH).as_int()) << ">();\n"; - if (cell->type == ID($print) && cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0) - f << indent << mangle(cell) << " = value<1>();\n"; + // Async and initial effectful cells have additional state, which must be reset as well. + if (is_effectful_cell(cell->type)) + if (!cell->getParam(ID::TRG_ENABLE).as_bool() || cell->getParam(ID::TRG_WIDTH).as_int() == 0) + f << indent << mangle(cell) << " = {};\n"; if (is_internal_cell(cell->type)) continue; f << indent << mangle(cell); @@ -2099,8 +2188,8 @@ struct CxxrtlWorker { case FlowGraph::Node::Type::CELL_EVAL: dump_cell_eval(node.cell); break; - case FlowGraph::Node::Type::PRINT_SYNC: - dump_sync_print(node.print_sync_cells); + case FlowGraph::Node::Type::EFFECT_SYNC: + dump_cell_effect_sync(node.cells); break; case FlowGraph::Node::Type::PROCESS_CASE: dump_process_case(node.process); @@ -2481,11 +2570,15 @@ struct CxxrtlWorker { f << "\n"; bool has_cells = false; for (auto cell : module->cells()) { - // Certain $print cells have additional state, which requires storage. - if (cell->type == ID($print) && !cell->getParam(ID::TRG_ENABLE).as_bool()) - f << indent << "value<" << (1 + cell->getParam(ID::ARGS_WIDTH).as_int()) << "> " << mangle(cell) << ";\n"; - if (cell->type == ID($print) && cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0) - f << indent << "value<1> " << mangle(cell) << ";\n"; + // Async and initial effectful cells have additional state, which requires storage. + if (is_effectful_cell(cell->type)) { + if (cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0) + f << indent << "value<1> " << mangle(cell) << ";\n"; // async initial cell + if (!cell->getParam(ID::TRG_ENABLE).as_bool() && cell->type == ID($print)) + f << indent << "value<" << (1 + cell->getParam(ID::ARGS_WIDTH).as_int()) << "> " << mangle(cell) << ";\n"; // {EN, ARGS} + if (!cell->getParam(ID::TRG_ENABLE).as_bool() && cell->type == ID($check)) + f << indent << "value<2> " << mangle(cell) << ";\n"; // {EN, A} + } if (is_internal_cell(cell->type)) continue; dump_attrs(cell); @@ -2803,8 +2896,8 @@ struct CxxrtlWorker { cell->parameters[ID::CLK_POLARITY].as_bool() ? RTLIL::STp : RTLIL::STn); } - // $print cells may be triggered on posedge/negedge events. - if (cell->type == ID($print) && cell->getParam(ID::TRG_ENABLE).as_bool()) { + // Effectful cells may be triggered on posedge/negedge events. + if (is_effectful_cell(cell->type) && cell->getParam(ID::TRG_ENABLE).as_bool()) { for (size_t i = 0; i < (size_t)cell->getParam(ID::TRG_WIDTH).as_int(); i++) { RTLIL::SigBit trg = cell->getPort(ID::TRG).extract(i, 1); if (is_valid_clock(trg)) @@ -2945,10 +3038,12 @@ struct CxxrtlWorker { // Discover nodes reachable from primary outputs (i.e. members) and collect reachable wire users. pool worklist; for (auto node : flow.nodes) { - if (node->type == FlowGraph::Node::Type::CELL_EVAL && is_effectful_cell(node->cell->type)) - worklist.insert(node); // node has effects - else if (node->type == FlowGraph::Node::Type::PRINT_SYNC) - worklist.insert(node); // node is sync $print + if (node->type == FlowGraph::Node::Type::CELL_EVAL && !is_internal_cell(node->cell->type)) + worklist.insert(node); // node evaluates a submodule + else if (node->type == FlowGraph::Node::Type::CELL_EVAL && is_effectful_cell(node->cell->type)) + worklist.insert(node); // node has async effects + else if (node->type == FlowGraph::Node::Type::EFFECT_SYNC) + worklist.insert(node); // node has sync effects else if (node->type == FlowGraph::Node::Type::MEM_WRPORTS) worklist.insert(node); // node is memory write else if (node->type == FlowGraph::Node::Type::PROCESS_SYNC && is_memwr_process(node->process)) @@ -3005,21 +3100,21 @@ struct CxxrtlWorker { } // Emit reachable nodes in eval(). - // Accumulate sync $print cells per trigger condition. - dict, std::vector> sync_print_cells; + // Accumulate sync effectful cells per trigger condition. + dict, std::vector> effect_sync_cells; for (auto node : node_order) if (live_nodes[node]) { if (node->type == FlowGraph::Node::Type::CELL_EVAL && - node->cell->type == ID($print) && + is_effectful_cell(node->cell->type) && node->cell->getParam(ID::TRG_ENABLE).as_bool() && node->cell->getParam(ID::TRG_WIDTH).as_int() != 0) - sync_print_cells[make_pair(node->cell->getPort(ID::TRG), node->cell->getParam(ID::TRG_POLARITY))].push_back(node->cell); + effect_sync_cells[make_pair(node->cell->getPort(ID::TRG), node->cell->getParam(ID::TRG_POLARITY))].push_back(node->cell); else schedule[module].push_back(*node); } - for (auto &it : sync_print_cells) { - auto node = flow.add_print_sync_node(it.second); + for (auto &it : effect_sync_cells) { + auto node = flow.add_effect_sync_node(it.second); schedule[module].push_back(*node); } diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index 550571497..ee55011e8 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -952,7 +952,23 @@ struct lazy_fmt { virtual std::string operator() () const = 0; }; -// An object that can be passed to a `eval()` method in order to act on side effects. +// Flavor of a `$check` cell. +enum class flavor { + // Corresponds to a `$assert` cell in other flows, and a Verilog `assert ()` statement. + ASSERT, + // Corresponds to a `$assume` cell in other flows, and a Verilog `assume ()` statement. + ASSUME, + // Corresponds to a `$live` cell in other flows, and a Verilog `assert (eventually)` statement. + ASSERT_EVENTUALLY, + // Corresponds to a `$fair` cell in other flows, and a Verilog `assume (eventually)` statement. + ASSUME_EVENTUALLY, + // Corresponds to a `$cover` cell in other flows, and a Verilog `cover ()` statement. + COVER, +}; + +// An object that can be passed to a `eval()` method in order to act on side effects. The default behavior implemented +// below is the same as the behavior of `eval(nullptr)`, except that `-print-output` option of `write_cxxrtl` is not +// taken into account. struct performer { // Called by generated formatting code to evaluate a Verilog `$time` expression. virtual int64_t vlog_time() const { return 0; } @@ -964,6 +980,15 @@ struct performer { virtual void on_print(const lazy_fmt &formatter, const metadata_map &attributes) { std::cout << formatter(); } + + // Called when a `$check` cell is triggered. + virtual void on_check(flavor type, bool condition, const lazy_fmt &formatter, const metadata_map &attributes) { + if (type == flavor::ASSERT || type == flavor::ASSUME) { + if (!condition) + std::cerr << formatter(); + CXXRTL_ASSERT(condition && "Check failed"); + } + } }; // An object that can be passed to a `commit()` method in order to produce a replay log of every state change in diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 41e51f328..988eef658 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1008,7 +1008,7 @@ void dump_cell_expr_binop(std::ostream &f, std::string indent, RTLIL::Cell *cell void dump_cell_expr_print(std::ostream &f, std::string indent, const RTLIL::Cell *cell) { - Fmt fmt = {}; + Fmt fmt; fmt.parse_rtlil(cell); std::vector args = fmt.emit_verilog(); @@ -1041,6 +1041,23 @@ void dump_cell_expr_print(std::ostream &f, std::string indent, const RTLIL::Cell f << stringf(");\n"); } +void dump_cell_expr_check(std::ostream &f, std::string indent, const RTLIL::Cell *cell) +{ + std::string flavor = cell->getParam(ID(FLAVOR)).decode_string(); + if (flavor == "assert") + f << stringf("%s" "assert (", indent.c_str()); + else if (flavor == "assume") + f << stringf("%s" "assume (", indent.c_str()); + else if (flavor == "live") + f << stringf("%s" "assert (eventually ", indent.c_str()); + else if (flavor == "fair") + f << stringf("%s" "assume (eventually ", indent.c_str()); + else if (flavor == "cover") + f << stringf("%s" "cover (", indent.c_str()); + dump_sigspec(f, cell->getPort(ID::A)); + f << stringf(");\n"); +} + bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) { if (cell->type == ID($_NOT_)) { @@ -1814,6 +1831,39 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) return true; } + if (cell->type == ID($check)) + { + // Sync $check cells are accumulated and handled in dump_module. + if (cell->getParam(ID::TRG_ENABLE).as_bool()) + return true; + + f << stringf("%s" "always @*\n", indent.c_str()); + + f << stringf("%s" " if (", indent.c_str()); + dump_sigspec(f, cell->getPort(ID::EN)); + f << stringf(") begin\n"); + + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + if (flavor == "assert" || flavor == "assume") { + Fmt fmt; + fmt.parse_rtlil(cell); + if (!fmt.parts.empty()) { + f << stringf("%s" " if (!", indent.c_str()); + dump_sigspec(f, cell->getPort(ID::A)); + f << stringf(")\n"); + dump_cell_expr_print(f, indent + " ", cell); + } + } else { + f << stringf("%s" " /* message omitted */\n", indent.c_str()); + } + + dump_cell_expr_check(f, indent + " ", cell); + + f << stringf("%s" " end\n", indent.c_str()); + + return true; + } + // FIXME: $fsm return false; @@ -1903,7 +1953,7 @@ void dump_cell(std::ostream &f, std::string indent, RTLIL::Cell *cell) } } -void dump_sync_print(std::ostream &f, std::string indent, const RTLIL::SigSpec &trg, const RTLIL::Const &polarity, std::vector &cells) +void dump_sync_effect(std::ostream &f, std::string indent, const RTLIL::SigSpec &trg, const RTLIL::Const &polarity, std::vector &cells) { if (trg.size() == 0) { f << stringf("%s" "initial begin\n", indent.c_str()); @@ -1927,9 +1977,29 @@ void dump_sync_print(std::ostream &f, std::string indent, const RTLIL::SigSpec & for (auto cell : cells) { f << stringf("%s" " if (", indent.c_str()); dump_sigspec(f, cell->getPort(ID::EN)); - f << stringf(")\n"); + f << stringf(") begin\n"); - dump_cell_expr_print(f, indent + " ", cell); + if (cell->type == ID($print)) { + dump_cell_expr_print(f, indent + " ", cell); + } else if (cell->type == ID($check)) { + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + if (flavor == "assert" || flavor == "assume") { + Fmt fmt; + fmt.parse_rtlil(cell); + if (!fmt.parts.empty()) { + f << stringf("%s" " if (!", indent.c_str()); + dump_sigspec(f, cell->getPort(ID::A)); + f << stringf(")\n"); + dump_cell_expr_print(f, indent + " ", cell); + } + } else { + f << stringf("%s" " /* message omitted */\n", indent.c_str()); + } + + dump_cell_expr_check(f, indent + " ", cell); + } + + f << stringf("%s" " end\n", indent.c_str()); } f << stringf("%s" "end\n", indent.c_str()); @@ -2182,7 +2252,7 @@ void dump_process(std::ostream &f, std::string indent, RTLIL::Process *proc, boo void dump_module(std::ostream &f, std::string indent, RTLIL::Module *module) { - std::map, std::vector> sync_print_cells; + std::map, std::vector> sync_effect_cells; reg_wires.clear(); reset_auto_counter(module); @@ -2214,8 +2284,8 @@ void dump_module(std::ostream &f, std::string indent, RTLIL::Module *module) std::set> reg_bits; for (auto cell : module->cells()) { - if (cell->type == ID($print) && cell->getParam(ID::TRG_ENABLE).as_bool()) { - sync_print_cells[make_pair(cell->getPort(ID::TRG), cell->getParam(ID::TRG_POLARITY))].push_back(cell); + if (cell->type.in(ID($print), ID($check)) && cell->getParam(ID::TRG_ENABLE).as_bool()) { + sync_effect_cells[make_pair(cell->getPort(ID::TRG), cell->getParam(ID::TRG_POLARITY))].push_back(cell); continue; } @@ -2274,8 +2344,8 @@ void dump_module(std::ostream &f, std::string indent, RTLIL::Module *module) for (auto cell : module->cells()) dump_cell(f, indent + " ", cell); - for (auto &it : sync_print_cells) - dump_sync_print(f, indent + " ", it.first.first, it.first.second, it.second); + for (auto &it : sync_effect_cells) + dump_sync_effect(f, indent + " ", it.first.first, it.first.second, it.second); for (auto it = module->processes.begin(); it != module->processes.end(); ++it) dump_process(f, indent + " ", it->second); diff --git a/docs/source/CHAPTER_CellLib.rst b/docs/source/CHAPTER_CellLib.rst index 0f0d79123..3b6f1b4d3 100644 --- a/docs/source/CHAPTER_CellLib.rst +++ b/docs/source/CHAPTER_CellLib.rst @@ -621,7 +621,7 @@ Add information about ``$specify2``, ``$specify3``, and ``$specrule`` cells. Formal verification cells ~~~~~~~~~~~~~~~~~~~~~~~~~ -Add information about ``$assert``, ``$assume``, ``$live``, ``$fair``, +Add information about ``$check``, ``$assert``, ``$assume``, ``$live``, ``$fair``, ``$cover``, ``$equiv``, ``$initstate``, ``$anyconst``, ``$anyseq``, ``$anyinit``, ``$allconst``, ``$allseq`` cells. @@ -654,8 +654,8 @@ If ``\TRG_ENABLE`` is true, the following parameters also apply: negative-edge triggered. ``\PRIORITY`` - When multiple ``$print`` cells fire on the same trigger, they execute in - descending priority order. + When multiple ``$print`` or ``$$check`` cells fire on the same trigger, they\ + execute in descending priority order. Ports: diff --git a/frontends/ast/genrtlil.cc b/frontends/ast/genrtlil.cc index 0a502162e..03697ebf3 100644 --- a/frontends/ast/genrtlil.cc +++ b/frontends/ast/genrtlil.cc @@ -163,6 +163,28 @@ static RTLIL::SigSpec mux2rtlil(AstNode *that, const RTLIL::SigSpec &cond, const return wire; } +static void check_unique_id(RTLIL::Module *module, RTLIL::IdString id, + const AstNode *node, const char *to_add_kind) +{ + auto already_exists = [&](const RTLIL::AttrObject *existing, const char *existing_kind) { + std::string src = existing->get_string_attribute(ID::src); + std::string location_str = "earlier"; + if (!src.empty()) + location_str = "at " + src; + node->input_error("Cannot add %s `%s' because a %s with the same name was already created %s!\n", + to_add_kind, id.c_str(), existing_kind, location_str.c_str()); + }; + + if (const RTLIL::Wire *wire = module->wire(id)) + already_exists(wire, "signal"); + if (const RTLIL::Cell *cell = module->cell(id)) + already_exists(cell, "cell"); + if (module->processes.count(id)) + already_exists(module->processes.at(id), "process"); + if (module->memories.count(id)) + already_exists(module->memories.at(id), "memory"); +} + // helper class for rewriting simple lookahead references in AST always blocks struct AST_INTERNAL::LookaheadRewriter { @@ -316,10 +338,10 @@ struct AST_INTERNAL::ProcessGenerator // Buffer for generating the init action RTLIL::SigSpec init_lvalue, init_rvalue; - // The most recently assigned $print cell \PRIORITY. - int last_print_priority; + // The most recently assigned $print or $check cell \PRIORITY. + int last_effect_priority; - ProcessGenerator(AstNode *always, RTLIL::SigSpec initSyncSignalsArg = RTLIL::SigSpec()) : always(always), initSyncSignals(initSyncSignalsArg), last_print_priority(0) + ProcessGenerator(AstNode *always, RTLIL::SigSpec initSyncSignalsArg = RTLIL::SigSpec()) : always(always), initSyncSignals(initSyncSignalsArg), last_effect_priority(0) { // rewrite lookahead references LookaheadRewriter la_rewriter(always); @@ -703,8 +725,10 @@ struct AST_INTERNAL::ProcessGenerator std::stringstream sstr; sstr << ast->str << "$" << ast->filename << ":" << ast->location.first_line << "$" << (autoidx++); - RTLIL::Cell *cell = current_module->addCell(sstr.str(), ID($print)); - set_src_attr(cell, ast); + Wire *en = current_module->addWire(sstr.str() + "_EN", 1); + set_src_attr(en, ast); + proc->root_case.actions.push_back(SigSig(en, false)); + current_case->actions.push_back(SigSig(en, true)); RTLIL::SigSpec triggers; RTLIL::Const polarity; @@ -717,18 +741,15 @@ struct AST_INTERNAL::ProcessGenerator polarity.bits.push_back(RTLIL::S0); } } - cell->parameters[ID::TRG_WIDTH] = triggers.size(); - cell->parameters[ID::TRG_ENABLE] = (always->type == AST_INITIAL) || !triggers.empty(); - cell->parameters[ID::TRG_POLARITY] = polarity; - cell->parameters[ID::PRIORITY] = --last_print_priority; + + RTLIL::Cell *cell = current_module->addCell(sstr.str(), ID($print)); + set_src_attr(cell, ast); + cell->setParam(ID::TRG_WIDTH, triggers.size()); + cell->setParam(ID::TRG_ENABLE, (always->type == AST_INITIAL) || !triggers.empty()); + cell->setParam(ID::TRG_POLARITY, polarity); + cell->setParam(ID::PRIORITY, --last_effect_priority); cell->setPort(ID::TRG, triggers); - - Wire *wire = current_module->addWire(sstr.str() + "_EN", 1); - set_src_attr(wire, ast); - cell->setPort(ID::EN, wire); - - proc->root_case.actions.push_back(SigSig(wire, false)); - current_case->actions.push_back(SigSig(wire, true)); + cell->setPort(ID::EN, en); int default_base = 10; if (ast->str.back() == 'b') @@ -766,7 +787,7 @@ struct AST_INTERNAL::ProcessGenerator args.push_back(arg); } - Fmt fmt = {}; + Fmt fmt; fmt.parse_verilog(args, /*sformat_like=*/false, default_base, /*task_name=*/ast->str, current_module->name); if (ast->str.substr(0, 8) == "$display") fmt.append_string("\n"); @@ -776,6 +797,70 @@ struct AST_INTERNAL::ProcessGenerator } break; + // generate $check cells + case AST_ASSERT: + case AST_ASSUME: + case AST_LIVE: + case AST_FAIR: + case AST_COVER: + { + std::string flavor, desc; + if (ast->type == AST_ASSERT) { flavor = "assert"; desc = "assert ()"; } + if (ast->type == AST_ASSUME) { flavor = "assume"; desc = "assume ()"; } + if (ast->type == AST_LIVE) { flavor = "live"; desc = "assert (eventually)"; } + if (ast->type == AST_FAIR) { flavor = "fair"; desc = "assume (eventually)"; } + if (ast->type == AST_COVER) { flavor = "cover"; desc = "cover ()"; } + + IdString cellname; + if (ast->str.empty()) + cellname = stringf("$%s$%s:%d$%d", flavor.c_str(), RTLIL::encode_filename(ast->filename).c_str(), ast->location.first_line, autoidx++); + else + cellname = ast->str; + check_unique_id(current_module, cellname, ast, "procedural assertion"); + + RTLIL::SigSpec check = ast->children[0]->genWidthRTLIL(-1, false, &subst_rvalue_map.stdmap()); + if (GetSize(check) != 1) + check = current_module->ReduceBool(NEW_ID, check); + + Wire *en = current_module->addWire(cellname.str() + "_EN", 1); + set_src_attr(en, ast); + proc->root_case.actions.push_back(SigSig(en, false)); + current_case->actions.push_back(SigSig(en, true)); + + RTLIL::SigSpec triggers; + RTLIL::Const polarity; + for (auto sync : proc->syncs) { + if (sync->type == RTLIL::STp) { + triggers.append(sync->signal); + polarity.bits.push_back(RTLIL::S1); + } else if (sync->type == RTLIL::STn) { + triggers.append(sync->signal); + polarity.bits.push_back(RTLIL::S0); + } + } + + RTLIL::Cell *cell = current_module->addCell(cellname, ID($check)); + set_src_attr(cell, ast); + for (auto &attr : ast->attributes) { + if (attr.second->type != AST_CONSTANT) + log_file_error(ast->filename, ast->location.first_line, "Attribute `%s' with non-constant value!\n", attr.first.c_str()); + cell->attributes[attr.first] = attr.second->asAttrConst(); + } + cell->setParam(ID::FLAVOR, flavor); + cell->setParam(ID::TRG_WIDTH, triggers.size()); + cell->setParam(ID::TRG_ENABLE, (always->type == AST_INITIAL) || !triggers.empty()); + cell->setParam(ID::TRG_POLARITY, polarity); + cell->setParam(ID::PRIORITY, --last_effect_priority); + cell->setPort(ID::TRG, triggers); + cell->setPort(ID::EN, en); + cell->setPort(ID::A, check); + + // No message is emitted to ensure Verilog code roundtrips correctly. + Fmt fmt; + fmt.emit_rtlil(cell); + break; + } + case AST_NONE: case AST_FOR: break; @@ -1242,28 +1327,6 @@ void AstNode::detectSignWidth(int &width_hint, bool &sign_hint, bool *found_real width_hint, kWidthLimit); } -static void check_unique_id(RTLIL::Module *module, RTLIL::IdString id, - const AstNode *node, const char *to_add_kind) -{ - auto already_exists = [&](const RTLIL::AttrObject *existing, const char *existing_kind) { - std::string src = existing->get_string_attribute(ID::src); - std::string location_str = "earlier"; - if (!src.empty()) - location_str = "at " + src; - node->input_error("Cannot add %s `%s' because a %s with the same name was already created %s!\n", - to_add_kind, id.c_str(), existing_kind, location_str.c_str()); - }; - - if (const RTLIL::Wire *wire = module->wire(id)) - already_exists(wire, "signal"); - if (const RTLIL::Cell *cell = module->cell(id)) - already_exists(cell, "cell"); - if (module->processes.count(id)) - already_exists(module->processes.at(id), "process"); - if (module->memories.count(id)) - already_exists(module->memories.at(id), "memory"); -} - // create RTLIL from an AST node // all generated cells, wires and processes are added to the module pointed to by 'current_module' // when the AST node is an expression (AST_ADD, AST_BIT_XOR, etc.), the result signal is returned. @@ -1945,48 +2008,50 @@ RTLIL::SigSpec AstNode::genRTLIL(int width_hint, bool sign_hint) } break; - // generate $assert cells + // generate $check cells case AST_ASSERT: case AST_ASSUME: case AST_LIVE: case AST_FAIR: case AST_COVER: { - IdString celltype; - if (type == AST_ASSERT) celltype = ID($assert); - if (type == AST_ASSUME) celltype = ID($assume); - if (type == AST_LIVE) celltype = ID($live); - if (type == AST_FAIR) celltype = ID($fair); - if (type == AST_COVER) celltype = ID($cover); + std::string flavor, desc; + if (type == AST_ASSERT) { flavor = "assert"; desc = "assert property ()"; } + if (type == AST_ASSUME) { flavor = "assume"; desc = "assume property ()"; } + if (type == AST_LIVE) { flavor = "live"; desc = "assert property (eventually)"; } + if (type == AST_FAIR) { flavor = "fair"; desc = "assume property (eventually)"; } + if (type == AST_COVER) { flavor = "cover"; desc = "cover property ()"; } - log_assert(children.size() == 2); + IdString cellname; + if (str.empty()) + cellname = stringf("$%s$%s:%d$%d", flavor.c_str(), RTLIL::encode_filename(filename).c_str(), location.first_line, autoidx++); + else + cellname = str; + check_unique_id(current_module, cellname, this, "procedural assertion"); RTLIL::SigSpec check = children[0]->genRTLIL(); if (GetSize(check) != 1) check = current_module->ReduceBool(NEW_ID, check); - RTLIL::SigSpec en = children[1]->genRTLIL(); - if (GetSize(en) != 1) - en = current_module->ReduceBool(NEW_ID, en); - - IdString cellname; - if (str.empty()) - cellname = stringf("%s$%s:%d$%d", celltype.c_str(), RTLIL::encode_filename(filename).c_str(), location.first_line, autoidx++); - else - cellname = str; - - check_unique_id(current_module, cellname, this, "procedural assertion"); - RTLIL::Cell *cell = current_module->addCell(cellname, celltype); + RTLIL::Cell *cell = current_module->addCell(cellname, ID($check)); set_src_attr(cell, this); - for (auto &attr : attributes) { if (attr.second->type != AST_CONSTANT) input_error("Attribute `%s' with non-constant value!\n", attr.first.c_str()); cell->attributes[attr.first] = attr.second->asAttrConst(); } - + cell->setParam(ID(FLAVOR), flavor); + cell->parameters[ID::TRG_WIDTH] = 0; + cell->parameters[ID::TRG_ENABLE] = 0; + cell->parameters[ID::TRG_POLARITY] = 0; + cell->parameters[ID::PRIORITY] = 0; + cell->setPort(ID::TRG, RTLIL::SigSpec()); + cell->setPort(ID::EN, RTLIL::S1); cell->setPort(ID::A, check); - cell->setPort(ID::EN, en); + + // No message is emitted to ensure Verilog code roundtrips correctly. + Fmt fmt; + fmt.emit_rtlil(cell); } break; diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index fa079f3e3..8e0de2994 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -178,7 +178,7 @@ Fmt AstNode::processFormat(int stage, bool sformat_like, int default_base, size_ args.push_back(arg); } - Fmt fmt = {}; + Fmt fmt; fmt.parse_verilog(args, sformat_like, default_base, /*task_name=*/str, current_module->name); return fmt; } @@ -784,7 +784,7 @@ AstNode *AstNode::clone_at_zero() pointee->type != AST_MEMORY) break; - YS_FALLTHROUGH; + YS_FALLTHROUGH case AST_MEMRD: detectSignWidth(width_hint, sign_hint); return mkconst_int(0, sign_hint, width_hint); @@ -3039,97 +3039,6 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin } skip_dynamic_range_lvalue_expansion:; - if (stage > 1 && (type == AST_ASSERT || type == AST_ASSUME || type == AST_LIVE || type == AST_FAIR || type == AST_COVER) && current_block != NULL) - { - std::stringstream sstr; - sstr << "$formal$" << RTLIL::encode_filename(filename) << ":" << location.first_line << "$" << (autoidx++); - std::string id_check = sstr.str() + "_CHECK", id_en = sstr.str() + "_EN"; - - AstNode *wire_check = new AstNode(AST_WIRE); - wire_check->str = id_check; - wire_check->was_checked = true; - current_ast_mod->children.push_back(wire_check); - current_scope[wire_check->str] = wire_check; - while (wire_check->simplify(true, 1, -1, false)) { } - - AstNode *wire_en = new AstNode(AST_WIRE); - wire_en->str = id_en; - wire_en->was_checked = true; - current_ast_mod->children.push_back(wire_en); - if (current_always_clocked) { - current_ast_mod->children.push_back(new AstNode(AST_INITIAL, new AstNode(AST_BLOCK, new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), AstNode::mkconst_int(0, false, 1))))); - current_ast_mod->children.back()->children[0]->children[0]->children[0]->str = id_en; - current_ast_mod->children.back()->children[0]->children[0]->children[0]->was_checked = true; - } - current_scope[wire_en->str] = wire_en; - while (wire_en->simplify(true, 1, -1, false)) { } - - AstNode *check_defval; - if (type == AST_LIVE || type == AST_FAIR) { - check_defval = new AstNode(AST_REDUCE_BOOL, children[0]->clone()); - } else { - std::vector x_bit; - x_bit.push_back(RTLIL::State::Sx); - check_defval = mkconst_bits(x_bit, false); - } - - AstNode *assign_check = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), check_defval); - assign_check->children[0]->str = id_check; - assign_check->children[0]->was_checked = true; - - AstNode *assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_int(0, false, 1)); - assign_en->children[0]->str = id_en; - assign_en->children[0]->was_checked = true; - - AstNode *default_signals = new AstNode(AST_BLOCK); - default_signals->children.push_back(assign_check); - default_signals->children.push_back(assign_en); - current_top_block->children.insert(current_top_block->children.begin(), default_signals); - - if (type == AST_LIVE || type == AST_FAIR) { - assign_check = nullptr; - } else { - assign_check = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), new AstNode(AST_REDUCE_BOOL, children[0]->clone())); - assign_check->children[0]->str = id_check; - assign_check->children[0]->was_checked = true; - assign_check->fixup_hierarchy_flags(); - } - - if (current_always == nullptr || current_always->type != AST_INITIAL) { - assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_int(1, false, 1)); - } else { - assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), new AstNode(AST_FCALL)); - assign_en->children[1]->str = "\\$initstate"; - } - assign_en->children[0]->str = id_en; - assign_en->children[0]->was_checked = true; - assign_en->fixup_hierarchy_flags(); - - newNode = new AstNode(AST_BLOCK); - if (assign_check != nullptr) - newNode->children.push_back(assign_check); - newNode->children.push_back(assign_en); - - AstNode *assertnode = new AstNode(type); - assertnode->location = location; - assertnode->str = str; - assertnode->children.push_back(new AstNode(AST_IDENTIFIER)); - assertnode->children.push_back(new AstNode(AST_IDENTIFIER)); - assertnode->children[0]->str = id_check; - assertnode->children[1]->str = id_en; - assertnode->attributes.swap(attributes); - current_ast_mod->children.push_back(assertnode); - - goto apply_newNode; - } - - if (stage > 1 && (type == AST_ASSERT || type == AST_ASSUME || type == AST_LIVE || type == AST_FAIR || type == AST_COVER) && children.size() == 1) - { - children.push_back(mkconst_int(1, false, 1)); - fixup_hierarchy_flags(); - did_something = true; - } - // found right-hand side identifier for memory -> replace with memory read port if (stage > 1 && type == AST_IDENTIFIER && id2ast != NULL && id2ast->type == AST_MEMORY && !in_lvalue && children.size() == 1 && children[0]->type == AST_RANGE && children[0]->children.size() == 1) { diff --git a/kernel/celltypes.h b/kernel/celltypes.h index cad505d9a..77cb3e324 100644 --- a/kernel/celltypes.h +++ b/kernel/celltypes.h @@ -102,6 +102,7 @@ struct CellTypes setup_type(ID($specify3), {ID::EN, ID::SRC, ID::DST, ID::DAT}, pool(), true); setup_type(ID($specrule), {ID::EN_SRC, ID::EN_DST, ID::SRC, ID::DST}, pool(), true); setup_type(ID($print), {ID::EN, ID::ARGS, ID::TRG}, pool()); + setup_type(ID($check), {ID::A, ID::EN, ID::ARGS, ID::TRG}, pool()); setup_type(ID($set_tag), {ID::A, ID::SET, ID::CLR}, {ID::Y}); setup_type(ID($get_tag), {ID::A}, {ID::Y}); setup_type(ID($overwrite_tag), {ID::A, ID::SET, ID::CLR}, pool()); diff --git a/kernel/constids.inc b/kernel/constids.inc index 480e2afc6..7db21debb 100644 --- a/kernel/constids.inc +++ b/kernel/constids.inc @@ -88,6 +88,7 @@ X(equiv_merged) X(equiv_region) X(extract_order) X(F) +X(FLAVOR) X(FORMAT) X(force_downto) X(force_upto) diff --git a/kernel/rtlil.cc b/kernel/rtlil.cc index f19da69e2..125730f29 100644 --- a/kernel/rtlil.cc +++ b/kernel/rtlil.cc @@ -1068,6 +1068,12 @@ namespace { error(__LINE__); } + std::string param_string(const RTLIL::IdString &name) + { + param(name); + return cell->parameters.at(name).decode_string(); + } + void port(const RTLIL::IdString& name, int width) { auto it = cell->connections_.find(name); @@ -1747,6 +1753,22 @@ namespace { return; } + if (cell->type == ID($check)) { + std::string flavor = param_string(ID(FLAVOR)); + if (!(flavor == "assert" || flavor == "assume" || flavor == "live" || flavor == "fair" || flavor == "cover")) + error(__LINE__); + param(ID(FORMAT)); + param_bool(ID::TRG_ENABLE); + param(ID::TRG_POLARITY); + param(ID::PRIORITY); + port(ID::A, 1); + port(ID::EN, 1); + port(ID::TRG, param(ID::TRG_WIDTH)); + port(ID::ARGS, param(ID::ARGS_WIDTH)); + check_expected(); + return; + } + if (cell->type == ID($_BUF_)) { port(ID::A,1); port(ID::Y,1); check_expected(); return; } if (cell->type == ID($_NOT_)) { port(ID::A,1); port(ID::Y,1); check_expected(); return; } if (cell->type == ID($_AND_)) { port(ID::A,1); port(ID::B,1); port(ID::Y,1); check_expected(); return; } diff --git a/passes/hierarchy/hierarchy.cc b/passes/hierarchy/hierarchy.cc index 69cc6fb91..90f890e80 100644 --- a/passes/hierarchy/hierarchy.cc +++ b/passes/hierarchy/hierarchy.cc @@ -671,7 +671,7 @@ bool set_keep_assert(std::map &cache, RTLIL::Module *mod) if (cache.count(mod) == 0) for (auto c : mod->cells()) { RTLIL::Module *m = mod->design->module(c->type); - if ((m != nullptr && set_keep_assert(cache, m)) || c->type.in(ID($assert), ID($assume), ID($live), ID($fair), ID($cover))) + if ((m != nullptr && set_keep_assert(cache, m)) || c->type.in(ID($check), ID($assert), ID($assume), ID($live), ID($fair), ID($cover))) return cache[mod] = true; } return cache[mod]; diff --git a/passes/opt/opt_clean.cc b/passes/opt/opt_clean.cc index a219e4708..ffb3052db 100644 --- a/passes/opt/opt_clean.cc +++ b/passes/opt/opt_clean.cc @@ -82,7 +82,7 @@ struct keep_cache_t if (!ignore_specify && cell->type.in(ID($specify2), ID($specify3), ID($specrule))) return true; - if (cell->type == ID($print)) + if (cell->type == ID($print) || cell->type == ID($check)) return true; if (cell->has_keep_attr()) diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v index fd804786f..930d2000b 100644 --- a/techlibs/common/simlib.v +++ b/techlibs/common/simlib.v @@ -1803,11 +1803,12 @@ endmodule module \$print (EN, TRG, ARGS); +parameter PRIORITY = 0; + parameter FORMAT = ""; parameter ARGS_WIDTH = 0; -parameter PRIORITY = 0; -parameter TRG_ENABLE = 1; +parameter TRG_ENABLE = 1; parameter TRG_WIDTH = 0; parameter TRG_POLARITY = 0; @@ -1817,6 +1818,27 @@ input [ARGS_WIDTH-1:0] ARGS; endmodule +// -------------------------------------------------------- + +module \$check (A, EN, TRG, ARGS); + +parameter FLAVOR = ""; +parameter PRIORITY = 0; + +parameter FORMAT = ""; +parameter ARGS_WIDTH = 0; + +parameter TRG_ENABLE = 1; +parameter TRG_WIDTH = 0; +parameter TRG_POLARITY = 0; + +input A; +input EN; +input [TRG_WIDTH-1:0] TRG; +input [ARGS_WIDTH-1:0] ARGS; + +endmodule + // -------------------------------------------------------- `ifndef SIMLIB_NOSR From c1d32886540bd22bd78646b0694a67c49f1550a5 Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Fri, 2 Feb 2024 01:25:58 +0300 Subject: [PATCH 050/159] chore: use similar variable/function names --- kernel/yosys.cc | 24 ++++++++++++------------ kernel/yosys.h | 4 ++-- 2 files changed, 14 insertions(+), 14 deletions(-) diff --git a/kernel/yosys.cc b/kernel/yosys.cc index 0362bc1eb..c7f5bebda 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -436,18 +436,18 @@ std::string make_temp_dir(std::string template_str) #endif } -bool check_dir_exists(const std::string& path) +bool check_directory_exists(const std::string& dirname) { #if defined(_WIN32) struct _stat info; - if (_stat(path.c_str(), &info) != 0) + if (_stat(dirname.c_str(), &info) != 0) { return false; } return (info.st_mode & _S_IFDIR) != 0; #else struct stat info; - if (stat(path.c_str(), &info) != 0) + if (stat(dirname.c_str(), &info) != 0) { return false; } @@ -500,13 +500,13 @@ void remove_directory(std::string dirname) #endif } -bool create_directory(const std::string& path) +bool create_directory(const std::string& dirname) { #if defined(_WIN32) - int ret = _mkdir(path.c_str()); + int ret = _mkdir(dirname.c_str()); #else mode_t mode = 0755; - int ret = mkdir(path.c_str(), mode); + int ret = mkdir(dirname.c_str(), mode); #endif if (ret == 0) return true; @@ -516,26 +516,26 @@ bool create_directory(const std::string& path) case ENOENT: // parent didn't exist, try to create it { - std::string::size_type pos = path.find_last_of('/'); + std::string::size_type pos = dirname.find_last_of('/'); if (pos == std::string::npos) #if defined(_WIN32) - pos = path.find_last_of('\\'); + pos = dirname.find_last_of('\\'); if (pos == std::string::npos) #endif return false; - if (!create_directory( path.substr(0, pos) )) + if (!create_directory( dirname.substr(0, pos) )) return false; } // now, try to create again #if defined(_WIN32) - return 0 == _mkdir(path.c_str()); + return 0 == _mkdir(dirname.c_str()); #else - return 0 == mkdir(path.c_str(), mode); + return 0 == mkdir(dirname.c_str(), mode); #endif case EEXIST: // done! - return check_dir_exists(path); + return check_directory_exists(dirname); default: return false; diff --git a/kernel/yosys.h b/kernel/yosys.h index 76ad01aa9..0a4641d18 100644 --- a/kernel/yosys.h +++ b/kernel/yosys.h @@ -343,10 +343,10 @@ std::string get_base_tmpdir(); std::string make_temp_file(std::string template_str = get_base_tmpdir() + "/yosys_XXXXXX"); std::string make_temp_dir(std::string template_str = get_base_tmpdir() + "/yosys_XXXXXX"); bool check_file_exists(std::string filename, bool is_exec = false); -bool check_dir_exists(const std::string& path); +bool check_directory_exists(const std::string& dirname); bool is_absolute_path(std::string filename); void remove_directory(std::string dirname); -bool create_directory(const std::string& path); +bool create_directory(const std::string& dirname); std::string escape_filename_spaces(const std::string& filename); template int GetSize(const T &obj) { return obj.size(); } From 7dbe288d6fafb821976f51967b6c65abd53891f7 Mon Sep 17 00:00:00 2001 From: hakan-demirli Date: Fri, 2 Feb 2024 02:39:04 +0300 Subject: [PATCH 051/159] fix: descriptive logs --- kernel/driver.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index a5201464d..373295b1d 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -271,7 +271,7 @@ int main(int argc, char **argv) read_history(yosys_history_file.c_str()); yosys_history_offset = where_history(); } else { - log("state_dir is empty. No history file will be created."); + log("Directory to put history file does not exist. If you are on Windows either $HOMEDRIVE or $HOMEPATH is empty."); } #endif From ffb82df33cb47d005241b5e28d7f69ccb8bc4f93 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 1 Feb 2024 20:08:55 +0100 Subject: [PATCH 052/159] Additional tests for FV $check compatibility --- tests/various/chformal_check.ys | 68 ++++++++++++++++++++++ tests/various/chformal_coverenable.ys | 41 ++++++++++++-- tests/various/clk2fflogic_effects.sh | 27 +++++++++ tests/various/clk2fflogic_effects.sv | 82 +++++++++++++++++++++++++++ 4 files changed, 214 insertions(+), 4 deletions(-) create mode 100644 tests/various/chformal_check.ys create mode 100755 tests/various/clk2fflogic_effects.sh create mode 100644 tests/various/clk2fflogic_effects.sv diff --git a/tests/various/chformal_check.ys b/tests/various/chformal_check.ys new file mode 100644 index 000000000..951543fa5 --- /dev/null +++ b/tests/various/chformal_check.ys @@ -0,0 +1,68 @@ +read_verilog -formal < clk2fflogic_effects.iv.log + +sort clk2fflogic_effects.iv.log > clk2fflogic_effects.iv.sorted.log +tail +3 clk2fflogic_effects.sim.log | sort > clk2fflogic_effects.sim.sorted.log +tail +3 clk2fflogic_effects.clk2fflogic.log | sort > clk2fflogic_effects.clk2fflogic.sorted.log + +cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.sim.sorted.log +cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.clk2fflogic.sorted.log diff --git a/tests/various/clk2fflogic_effects.sv b/tests/various/clk2fflogic_effects.sv new file mode 100644 index 000000000..b571cf3fe --- /dev/null +++ b/tests/various/clk2fflogic_effects.sv @@ -0,0 +1,82 @@ +module top; + +(* gclk *) +reg gclk; + +reg clk = 0; +always @(posedge gclk) + clk <= !clk; + +reg [4:0] counter = 0; + +reg eff_0_trg = '0; +reg eff_0_en = '0; + +reg eff_1_trgA = '0; +reg eff_1_trgB = '0; +reg eff_1_en = '0; + +reg eff_2_trgA = '0; +reg eff_2_trgB = '0; +reg eff_2_en = '0; + +`ifdef FAST +always @(posedge gclk) begin +`else +always @(posedge clk) begin +`endif + counter <= counter + 1; + + eff_0_trg = 32'b00000000000000110011001100101010 >> counter; + eff_0_en <= 32'b00000000000001100000110110110110 >> counter; + + eff_1_trgA = 32'b00000000000000000011110000011110 >> counter; + eff_1_trgB = 32'b00000000000000001111000001111000 >> counter; + eff_1_en <= 32'b00000000000000001010101010101010 >> counter; + + eff_2_trgA = counter[0]; + eff_2_trgB = !counter[0]; + eff_2_en <= 32'b00000000000000000000001111111100 >> counter; +end + +always @(posedge eff_0_trg) + if (eff_0_en) + $display("%02d: eff0 +", counter); + +always @(negedge eff_0_trg) + if (eff_0_en) + $display("%02d: eff0 -", counter); + +always @(posedge eff_0_trg, negedge eff_0_trg) + if (eff_0_en) + $display("%02d: eff0 *", counter); + +always @(posedge eff_1_trgA, posedge eff_1_trgB) + if (eff_1_en) + $display("%02d: eff1 ++", counter); + +always @(posedge eff_1_trgA, negedge eff_1_trgB) + if (eff_1_en) + $display("%02d: eff1 +-", counter); + +always @(negedge eff_1_trgA, posedge eff_1_trgB) + if (eff_1_en) + $display("%02d: eff1 -+", counter); + +always @(negedge eff_1_trgA, negedge eff_1_trgB) + if (eff_1_en) + $display("%02d: eff1 --", counter); + +always @(posedge eff_2_trgA, posedge eff_2_trgB) + if (eff_2_en) + $display("repeated"); + +`ifdef __ICARUS__ +initial gclk = 0; +always @(gclk) gclk <= #5 !gclk; +always @(posedge gclk) + if (counter == 31) + $finish(0); +`endif + +endmodule From f5420d720cc28e409c546e0f5d2e163cd0345f5c Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sun, 4 Feb 2024 00:17:08 +0000 Subject: [PATCH 053/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 916ac0bf7..c79cb185b 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+64 +YOSYS_VER := 0.37+74 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 3f457f23887456ae6c927f6fd2147f453c267672 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Sun, 4 Feb 2024 23:20:38 +0100 Subject: [PATCH 054/159] ci: Fix CXXSTD typo --- .github/workflows/test-linux.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index 5d929f581..cd990d7bd 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -111,7 +111,7 @@ jobs: shell: bash run: | make config-${CC%%-*} - make -j${{ env.procs }} CCXXSTD=${{ matrix.cpp_std }} CC=$CC CXX=$CC LD=$CC + make -j${{ env.procs }} CXXSTD=${{ matrix.cpp_std }} CC=$CC CXX=$CC LD=$CC - name: Run tests if: (matrix.cpp_std == 'c++11') && (matrix.compiler == 'gcc-11') From 97b8ee5ab958f1f2b73a74af2d0d113e815bdc4f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Sun, 4 Feb 2024 23:29:46 +0100 Subject: [PATCH 055/159] ci: Get a dump of yosys-config into the build log --- .github/workflows/test-linux.yml | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index cd990d7bd..70aa1f68e 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -118,3 +118,7 @@ jobs: shell: bash run: | make -j${{ env.procs }} test CXXSTD=${{ matrix.cpp_std }} CC=$CC CXX=$CC LD=$CC + + - name: Log yosys-config output + run: | + ./yosys-config From 0cdd4273b4aa0ac98ce99a9dc888965c96fed382 Mon Sep 17 00:00:00 2001 From: Krystine Sherwin <93062060+KrystalDelusion@users.noreply.github.com> Date: Mon, 5 Feb 2024 15:41:50 +1300 Subject: [PATCH 056/159] ci: ignore yosys-config return code --- .github/workflows/test-linux.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index 70aa1f68e..28c17a6c0 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -121,4 +121,4 @@ jobs: - name: Log yosys-config output run: | - ./yosys-config + ./yosys-config || true From bc66dfd9ea31f79f97e43f6b48d775245b47cdf4 Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Mon, 5 Feb 2024 07:10:25 +0000 Subject: [PATCH 057/159] verific: Fixes incorrect aldff inference in verific importer The following SV module at HEAD imported with verific, ```systemverilog module my_module( input logic [4:0] a, input logic clk, input logic enable, output logic [4:0] z ); reg [4:0] pipeline_register; always @(posedge clk) begin pipeline_register <= enable ? a : pipeline_register; end assign z = pipeline_register; endmodule : my_module ``` results in the following output verilog ```systemverilog /* Generated by 0.36 */ (* top = 1 *) (* hdlname = "my_module" *) (* src = "/tmp/temp_directory_zTwd0l/my_input.v:2.12-2.21" *) module my_module(clk, enable, a, z); wire [4:0] _0_; (* src = "/tmp/temp_directory_zTwd0l/my_input.v:3.25-3.26" *) input [4:0] a; wire [4:0] a; (* src = "/tmp/temp_directory_zTwd0l/my_input.v:4.19-4.22" *) input clk; wire clk; (* src = "/tmp/temp_directory_zTwd0l/my_input.v:5.19-5.25" *) input enable; wire enable; (* src = "/tmp/temp_directory_zTwd0l/my_input.v:6.26-6.27" *) output [4:0] z; wire [4:0] z; (* src = "/tmp/temp_directory_zTwd0l/my_input.v:10.12-12.8" *) \$aldff #( .ALOAD_POLARITY(32'd1), .CLK_POLARITY(32'd1), .WIDTH(32'd5) ) _1_ ( .AD(5'hxx), .ALOAD(1'h0), .CLK(clk), .D(_0_), .Q(z) ); (* src = "/tmp/temp_directory_zTwd0l/my_input.v:11.28-11.58" *) \$mux #( .WIDTH(32'd5) ) _2_ ( .A(z), .B(a), .S(enable), .Y(_0_) ); endmodule ``` Yosys is incorrectly infering aldffs due to an incorrect conversion of logical 1 and 0 SigBits. My PR unifies the conversion of Verific::Net objects into SigBits using Yosys' internal representation of special signals like 0,1,x,z. After my PR these signals are correctly converted into DFFs. Signed-off-by: Ethan Mahintorabi --- frontends/verific/verific.cc | 40 ++++++++++++++++++++++-------------- frontends/verific/verific.h | 1 + 2 files changed, 26 insertions(+), 15 deletions(-) diff --git a/frontends/verific/verific.cc b/frontends/verific/verific.cc index 4123c3741..a809af21a 100644 --- a/frontends/verific/verific.cc +++ b/frontends/verific/verific.cc @@ -343,36 +343,46 @@ void VerificImporter::import_attributes(dict &att } } +RTLIL::SigBit VerificImporter::netToSigBit(Verific::Net *net) { + if (net && net->IsGnd()) + return RTLIL::State::S0; + else if (net && net->IsPwr()) + return RTLIL::State::S1; + else if (net && net->IsX()) + return RTLIL::State::Sx; + else if (net) + return net_map_at(net); + else + return RTLIL::State::Sz; +} + RTLIL::SigSpec VerificImporter::operatorInput(Instance *inst) { RTLIL::SigSpec sig; - for (int i = int(inst->InputSize())-1; i >= 0; i--) - if (inst->GetInputBit(i)) - sig.append(net_map_at(inst->GetInputBit(i))); - else - sig.append(RTLIL::State::Sz); + for (int i = int(inst->InputSize())-1; i >= 0; i--) { + Net *net = inst->GetInputBit(i); + sig.append(netToSigBit(net)); + } return sig; } RTLIL::SigSpec VerificImporter::operatorInput1(Instance *inst) { RTLIL::SigSpec sig; - for (int i = int(inst->Input1Size())-1; i >= 0; i--) - if (inst->GetInput1Bit(i)) - sig.append(net_map_at(inst->GetInput1Bit(i))); - else - sig.append(RTLIL::State::Sz); + for (int i = int(inst->Input1Size())-1; i >= 0; i--) { + Net *net = inst->GetInput1Bit(i); + sig.append(netToSigBit(net)); + } return sig; } RTLIL::SigSpec VerificImporter::operatorInput2(Instance *inst) { RTLIL::SigSpec sig; - for (int i = int(inst->Input2Size())-1; i >= 0; i--) - if (inst->GetInput2Bit(i)) - sig.append(net_map_at(inst->GetInput2Bit(i))); - else - sig.append(RTLIL::State::Sz); + for (int i = int(inst->Input2Size())-1; i >= 0; i--) { + Net *net = inst->GetInput2Bit(i); + sig.append(netToSigBit(net)); + } return sig; } diff --git a/frontends/verific/verific.h b/frontends/verific/verific.h index 44485751c..0b9616e19 100644 --- a/frontends/verific/verific.h +++ b/frontends/verific/verific.h @@ -83,6 +83,7 @@ struct VerificImporter RTLIL::IdString new_verific_id(Verific::DesignObj *obj); void import_attributes(dict &attributes, Verific::DesignObj *obj, Verific::Netlist *nl = nullptr); + RTLIL::SigBit netToSigBit(Verific::Net *net); RTLIL::SigSpec operatorInput(Verific::Instance *inst); RTLIL::SigSpec operatorInput1(Verific::Instance *inst); RTLIL::SigSpec operatorInput2(Verific::Instance *inst); From ff578ecabddc4f29eee15d5bf0da96087b32cfcb Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Mon, 5 Feb 2024 07:23:04 +0000 Subject: [PATCH 058/159] fix formatting Signed-off-by: Ethan Mahintorabi --- frontends/verific/verific.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/verific/verific.cc b/frontends/verific/verific.cc index a809af21a..dff9c777b 100644 --- a/frontends/verific/verific.cc +++ b/frontends/verific/verific.cc @@ -351,7 +351,7 @@ RTLIL::SigBit VerificImporter::netToSigBit(Verific::Net *net) { else if (net && net->IsX()) return RTLIL::State::Sx; else if (net) - return net_map_at(net); + return net_map_at(net); else return RTLIL::State::Sz; } From 57db87c99f1d9058ca94d404877afc4d798d5185 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Mon, 5 Feb 2024 17:25:55 +0100 Subject: [PATCH 059/159] py_wrap_generator: Handle const-qualified callbacks --- misc/py_wrap_generator.py | 49 ++++++++++++++++++++++++++++----------- 1 file changed, 35 insertions(+), 14 deletions(-) diff --git a/misc/py_wrap_generator.py b/misc/py_wrap_generator.py index 7fe78e03a..a65d24d92 100644 --- a/misc/py_wrap_generator.py +++ b/misc/py_wrap_generator.py @@ -1257,6 +1257,7 @@ class WFunction: func.is_static = False func.is_inline = False func.is_virtual = False + func.is_const = False func.ret_attr_type = attr_types.default func.is_operator = False func.member_of = None @@ -1334,6 +1335,11 @@ class WFunction: found = find_closing(str_def, "(", ")") if found == -1: return None + + post_qualifiers = str_def[found + 1:].lstrip().replace("{", " {") + " " + if post_qualifiers.startswith("const "): + func.is_const = True + str_def = str_def[0:found] if func.name in blacklist_methods: return None @@ -1379,6 +1385,12 @@ class WFunction: def gen_alias(self): self.alias = self.mangled_name + def gen_post_qualifiers(self, derived=False): + if self.member_of != None and self.member_of.link_type == link_types.derive and self.is_virtual and derived: + # we drop the qualifiers when deriving callbacks to be implemented in Python + return '' + return ' const' if self.is_const else '' + def gen_decl(self): if self.duplicate: return "" @@ -1392,7 +1404,7 @@ class WFunction: text += ", " if len(self.args) > 0: text = text[:-2] - text += ");\n" + text += f"){self.gen_post_qualifiers()};\n" return text def gen_decl_virtual(self): @@ -1411,12 +1423,18 @@ class WFunction: if len(self.args) > 0: text = text[:-2] text += ")" - if len(self.args) == 0: + if len(self.args) == 0 and self.ret_type.name == "void": text += "{}" else: text += "\n\t\t{" for arg in self.args: text += "\n\t\t\t(void)" + arg.gen_varname() + ";" + if self.ret_type.name == "void": + pass + elif self.ret_type.name == "bool": + text += "\n\t\t\treturn false;" + else: + raise NotImplementedError(self.ret_type.name) text += "\n\t\t}\n" text += "\n\t\tvirtual " if self.is_static: @@ -1427,7 +1445,7 @@ class WFunction: text += ", " if len(self.args) > 0: text = text[:-2] - text += ") override;\n" + text += f"){self.gen_post_qualifiers()} override;\n" return text def gen_decl_hash_py(self): @@ -1452,7 +1470,7 @@ class WFunction: text += ", " if len(self.args) > 0: text = text[:-2] - text +=")\n\t{" + text += f"){self.gen_post_qualifiers()}\n\t{{" for arg in self.args: text += arg.gen_translation() text += "\n\t\t" @@ -1507,16 +1525,17 @@ class WFunction: text += ", " if len(self.args) > 0: text = text[:-2] - text += ")\n\t{" + text += f"){self.gen_post_qualifiers()}\n\t{{" for arg in self.args: text += arg.gen_translation_cpp() - text += "\n\t\t" + return_stmt = "return " if self.ret_type.name != "void" else "" + text += f"\n\t\t{return_stmt}" if self.member_of == None: text += "::" + self.namespace + "::" + self.alias + "(" elif self.is_static: text += self.member_of.namespace + "::" + self.member_of.name + "::" + self.name + "(" else: - text += "py_" + self.alias + "(" + text += f"const_cast<{self.member_of.name}*>(this)->py_" + self.alias + "(" for arg in self.args: text += arg.gen_call_cpp() + ", " if len(self.args) > 0: @@ -1547,11 +1566,13 @@ class WFunction: call_string = call_string[0:-2] call_string += ");" + return_stmt = "return " if self.ret_type.name != "void" else "" + text += ")\n\t\t{" - text += "\n\t\t\tif(boost::python::override py_" + self.alias + " = this->get_override(\"py_" + self.alias + "\"))" - text += "\n\t\t\t\t" + call_string + text += "\n\t\t\tif (boost::python::override py_" + self.alias + " = this->get_override(\"py_" + self.alias + "\"))" + text += f"\n\t\t\t\t{return_stmt}" + call_string text += "\n\t\t\telse" - text += "\n\t\t\t\t" + self.member_of.name + "::" + call_string + text += f"\n\t\t\t\t{return_stmt}" + self.member_of.name + "::" + call_string text += "\n\t\t}" text += "\n\n\t\t" + self.ret_type.gen_text() + " default_py_" + self.alias + "(" @@ -1559,8 +1580,8 @@ class WFunction: text += arg.gen_listitem() + ", " if len(self.args) > 0: text = text[:-2] - text += ")\n\t\t{" - text += "\n\t\t\tthis->" + self.member_of.name + "::" + call_string + text += f")\n\t\t{{" + text += f"\n\t\t\t{return_stmt}this->" + self.member_of.name + "::" + call_string text += "\n\t\t}" return text @@ -1584,9 +1605,9 @@ class WFunction: for a in self.args: text += a.gen_listitem_hash() + ", " if len(self.args) > 0: - text = text[0:-2] + ")>" + text = text[0:-2] + f"){self.gen_post_qualifiers(True)}>" else: - text += "void)>" + text += f"void){self.gen_post_qualifiers(True)}>" if self.is_operator: text += "(\"" + wrappable_operators[self.name.replace("operator","")] + "\"" From 1df2a209e51da6eaf0dde7c52e40b60a7e7908a5 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 6 Feb 2024 00:15:26 +0000 Subject: [PATCH 060/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index c79cb185b..901106802 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+74 +YOSYS_VER := 0.37+83 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 0470cbb00d82730fd310eb870d8988af840fc150 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Fri, 12 Jan 2024 16:30:37 +0100 Subject: [PATCH 061/159] hierarchy: Without a known top module, derive all deferred modules This fixes hierarchy when used with cell libraries that were loaded with -defer and also makes more of the hierarchy visible to the auto-top heuristic. --- passes/hierarchy/hierarchy.cc | 12 ++++++++++++ tests/verilog/param_no_default.ys | 2 +- 2 files changed, 13 insertions(+), 1 deletion(-) diff --git a/passes/hierarchy/hierarchy.cc b/passes/hierarchy/hierarchy.cc index 90f890e80..6fcda5d76 100644 --- a/passes/hierarchy/hierarchy.cc +++ b/passes/hierarchy/hierarchy.cc @@ -1006,6 +1006,18 @@ struct HierarchyPass : public Pass { if (mod->get_bool_attribute(ID::top)) top_mod = mod; + if (top_mod == nullptr) + { + std::vector abstract_ids; + for (auto module : design->modules()) + if (module->name.begins_with("$abstract")) + abstract_ids.push_back(module->name); + for (auto abstract_id : abstract_ids) + design->module(abstract_id)->derive(design, {}); + for (auto abstract_id : abstract_ids) + design->remove(design->module(abstract_id)); + } + if (top_mod == nullptr && auto_top_mode) { log_header(design, "Finding top of design hierarchy..\n"); dict db; diff --git a/tests/verilog/param_no_default.ys b/tests/verilog/param_no_default.ys index cc34c6a53..0509f6a1a 100644 --- a/tests/verilog/param_no_default.ys +++ b/tests/verilog/param_no_default.ys @@ -1,5 +1,5 @@ read_verilog -sv param_no_default.sv -hierarchy +hierarchy -top top proc flatten opt -full From d00843d436f4ebaed19c4a49f6e5dc78960fdf00 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Tue, 6 Feb 2024 10:36:30 +0100 Subject: [PATCH 062/159] Add -nordff to test --- tests/verific/memory_semantics.ys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/verific/memory_semantics.ys b/tests/verific/memory_semantics.ys index adcd3a4ca..7287f847f 100644 --- a/tests/verific/memory_semantics.ys +++ b/tests/verific/memory_semantics.ys @@ -89,6 +89,6 @@ EOF hierarchy -top top proc opt_clean -memory -nomap +memory -nomap -nordff select -assert-count 1 t:$mem_v2 sim -assert -clock clk -n 20 From f728927307b959f1e97b11bf9ecedee53220d7a1 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Tue, 19 Dec 2023 14:37:27 +0100 Subject: [PATCH 063/159] Add builtin celltype $scopeinfo Only declares the cell interface, doesn't make anything use or understand $scopeinfo yet. --- kernel/celltypes.h | 1 + kernel/rtlil.cc | 9 +++++++++ kernel/satgen.cc | 5 +++++ techlibs/common/simlib.v | 7 +++++++ 4 files changed, 22 insertions(+) diff --git a/kernel/celltypes.h b/kernel/celltypes.h index 77cb3e324..fde6624e1 100644 --- a/kernel/celltypes.h +++ b/kernel/celltypes.h @@ -108,6 +108,7 @@ struct CellTypes setup_type(ID($overwrite_tag), {ID::A, ID::SET, ID::CLR}, pool()); setup_type(ID($original_tag), {ID::A}, {ID::Y}); setup_type(ID($future_ff), {ID::A}, {ID::Y}); + setup_type(ID($scopeinfo), {}, {}); } void setup_internals_eval() diff --git a/kernel/rtlil.cc b/kernel/rtlil.cc index 125730f29..8781b6a89 100644 --- a/kernel/rtlil.cc +++ b/kernel/rtlil.cc @@ -1769,6 +1769,15 @@ namespace { return; } + if (cell->type == ID($scopeinfo)) { + param(ID::TYPE); + check_expected(); + std::string scope_type = cell->getParam(ID::TYPE).decode_string(); + if (scope_type != "module" && scope_type != "struct") + error(__LINE__); + return; + } + if (cell->type == ID($_BUF_)) { port(ID::A,1); port(ID::Y,1); check_expected(); return; } if (cell->type == ID($_NOT_)) { port(ID::A,1); port(ID::Y,1); check_expected(); return; } if (cell->type == ID($_AND_)) { port(ID::A,1); port(ID::B,1); port(ID::Y,1); check_expected(); return; } diff --git a/kernel/satgen.cc b/kernel/satgen.cc index 3a2fa4735..baaf22d1f 100644 --- a/kernel/satgen.cc +++ b/kernel/satgen.cc @@ -1379,6 +1379,11 @@ bool SatGen::importCell(RTLIL::Cell *cell, int timestep) return true; } + if (cell->type == ID($scopeinfo)) + { + return true; + } + // Unsupported internal cell types: $pow $fsm $mem* // .. and all sequential cells with asynchronous inputs return false; diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v index 930d2000b..489281f26 100644 --- a/techlibs/common/simlib.v +++ b/techlibs/common/simlib.v @@ -2763,3 +2763,10 @@ assign Y = A; endmodule // -------------------------------------------------------- + +(* noblackbox *) +module \$scopeinfo (); + +parameter TYPE = ""; + +endmodule From 8902fc94b6736e74e8da105d6cc8f32bf2f44817 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Tue, 19 Dec 2023 16:23:38 +0100 Subject: [PATCH 064/159] Suport $scopeinfo in flatten and opt_clean --- passes/opt/opt_clean.cc | 11 +++- passes/techmap/flatten.cc | 114 ++++++++++++++++++++++++++++++++------ 2 files changed, 105 insertions(+), 20 deletions(-) diff --git a/passes/opt/opt_clean.cc b/passes/opt/opt_clean.cc index 2b24944cf..b3e43c18c 100644 --- a/passes/opt/opt_clean.cc +++ b/passes/opt/opt_clean.cc @@ -35,10 +35,12 @@ struct keep_cache_t { Design *design; dict cache; + bool purge_mode = false; - void reset(Design *design = nullptr) + void reset(Design *design = nullptr, bool purge_mode = false) { this->design = design; + this->purge_mode = purge_mode; cache.clear(); } @@ -88,6 +90,9 @@ struct keep_cache_t if (cell->has_keep_attr()) return true; + if (!purge_mode && cell->type == ID($scopeinfo)) + return true; + if (cell->module && cell->module->design) return query(cell->module->design->module(cell->type)); @@ -236,6 +241,8 @@ int count_nontrivial_wire_attrs(RTLIL::Wire *w) { int count = w->attributes.size(); count -= w->attributes.count(ID::src); + count -= w->attributes.count(ID::hdlname); + count -= w->attributes.count(ID(scopename)); count -= w->attributes.count(ID::unused_bits); return count; } @@ -661,7 +668,7 @@ struct OptCleanPass : public Pass { } extra_args(args, argidx, design); - keep_cache.reset(design); + keep_cache.reset(design, purge_mode); ct_reg.setup_internals_mem(); ct_reg.setup_internals_anyinit(); diff --git a/passes/techmap/flatten.cc b/passes/techmap/flatten.cc index 4ddc4aff1..ea5855a09 100644 --- a/passes/techmap/flatten.cc +++ b/passes/techmap/flatten.cc @@ -46,24 +46,6 @@ IdString map_name(RTLIL::Cell *cell, T *object) return cell->module->uniquify(concat_name(cell, object->name)); } -template -void map_attributes(RTLIL::Cell *cell, T *object, IdString orig_object_name) -{ - if (object->has_attribute(ID::src)) - object->add_strpool_attribute(ID::src, cell->get_strpool_attribute(ID::src)); - - // Preserve original names via the hdlname attribute, but only for objects with a fully public name. - if (cell->name[0] == '\\' && (object->has_attribute(ID::hdlname) || orig_object_name[0] == '\\')) { - std::vector hierarchy; - if (object->has_attribute(ID::hdlname)) - hierarchy = object->get_hdlname_attribute(); - else - hierarchy.push_back(orig_object_name.str().substr(1)); - hierarchy.insert(hierarchy.begin(), cell->name.str().substr(1)); - object->set_hdlname_attribute(hierarchy); - } -} - void map_sigspec(const dict &map, RTLIL::SigSpec &sig, RTLIL::Module *into = nullptr) { vector chunks = sig; @@ -76,6 +58,54 @@ void map_sigspec(const dict &map, RTLIL::SigSpec &si struct FlattenWorker { bool ignore_wb = false; + bool create_scopeinfo = true; + bool create_scopename = false; + + template + void map_attributes(RTLIL::Cell *cell, T *object, IdString orig_object_name) + { + if (!create_scopeinfo && object->has_attribute(ID::src)) + object->add_strpool_attribute(ID::src, cell->get_strpool_attribute(ID::src)); + + // Preserve original names via the hdlname attribute, but only for objects with a fully public name. + // If the '-scopename' option is used, also preserve the containing scope of private objects if their scope is fully public. + if (cell->name[0] == '\\') { + if (object->has_attribute(ID::hdlname) || orig_object_name[0] == '\\') { + std::string new_hdlname; + + if (cell->has_attribute(ID::hdlname)) { + new_hdlname = cell->get_string_attribute(ID(hdlname)); + } else { + log_assert(!cell->name.empty()); + new_hdlname = cell->name.c_str() + 1; + } + new_hdlname += ' '; + + if (object->has_attribute(ID::hdlname)) { + new_hdlname += object->get_string_attribute(ID(hdlname)); + } else { + log_assert(!orig_object_name.empty()); + new_hdlname += orig_object_name.c_str() + 1; + } + object->set_string_attribute(ID(hdlname), new_hdlname); + } else if (object->has_attribute(ID(scopename))) { + std::string new_scopename; + + if (cell->has_attribute(ID::hdlname)) { + new_scopename = cell->get_string_attribute(ID(hdlname)); + } else { + log_assert(!cell->name.empty()); + new_scopename = cell->name.c_str() + 1; + } + new_scopename += ' '; + new_scopename += object->get_string_attribute(ID(scopename)); + object->set_string_attribute(ID(scopename), new_scopename); + } else if (create_scopename) { + log_assert(!cell->name.empty()); + object->set_string_attribute(ID(scopename), cell->name.c_str() + 1); + } + } + } void flatten_cell(RTLIL::Design *design, RTLIL::Module *module, RTLIL::Cell *cell, RTLIL::Module *tpl, SigMap &sigmap, std::vector &new_cells) { @@ -220,7 +250,33 @@ struct FlattenWorker sigmap.add(new_conn.first, new_conn.second); } + RTLIL::Cell *scopeinfo = nullptr; + RTLIL::IdString cell_name = cell->name; + + if (create_scopeinfo && cell_name.isPublic()) + { + // The $scopeinfo's name will be changed below after removing the flattened cell + scopeinfo = module->addCell(NEW_ID, ID($scopeinfo)); + scopeinfo->setParam(ID::TYPE, RTLIL::Const("module")); + + for (auto const &attr : cell->attributes) + { + if (attr.first == ID::hdlname) + scopeinfo->attributes.insert(attr); + else + scopeinfo->attributes.emplace(stringf("\\cell_%s", RTLIL::unescape_id(attr.first).c_str()), attr.second); + } + + for (auto const &attr : tpl->attributes) + scopeinfo->attributes.emplace(stringf("\\module_%s", RTLIL::unescape_id(attr.first).c_str()), attr.second); + + scopeinfo->attributes.emplace(ID(module), RTLIL::unescape_id(tpl->name)); + } + module->remove(cell); + + if (scopeinfo != nullptr) + module->rename(scopeinfo, cell_name); } void flatten_module(RTLIL::Design *design, RTLIL::Module *module, pool &used_modules) @@ -275,6 +331,20 @@ struct FlattenPass : public Pass { log(" -wb\n"); log(" Ignore the 'whitebox' attribute on cell implementations.\n"); log("\n"); + log(" -noscopeinfo\n"); + log(" Do not create '$scopeinfo' cells that preserve attributes of cells and\n"); + log(" modules that were removed during flattening. With this option, the\n"); + log(" 'src' attribute of a given cell is merged into all objects replacing\n"); + log(" that cell, with multiple distinct 'src' locations separated by '|'.\n"); + log(" Without this option these 'src' locations can be found via the\n"); + log(" cell_src' and 'module_src' attribute of '$scopeinfo' cells.\n"); + log("\n"); + log(" -scopename\n"); + log(" Create 'scopename' attributes for objects with a private name. This\n"); + log(" attribute records the 'hdlname' of the enclosing scope. For objects\n"); + log(" with a public name the enclosing scope can be found via their\n"); + log(" 'hdlname' attribute.\n"); + log("\n"); } void execute(std::vector args, RTLIL::Design *design) override { @@ -289,6 +359,14 @@ struct FlattenPass : public Pass { worker.ignore_wb = true; continue; } + if (args[argidx] == "-noscopeinfo") { + worker.create_scopeinfo = false; + continue; + } + if (args[argidx] == "-scopename") { + worker.create_scopename = true; + continue; + } break; } extra_args(args, argidx, design); From 9288107f4345fba9f0364036415b5b9b88178b5b Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Tue, 19 Dec 2023 19:47:09 +0100 Subject: [PATCH 065/159] Test flatten and opt_clean's $scopeinfo handling --- tests/various/scopeinfo.ys | 110 +++++++++++++++++++++++++++++++++++++ 1 file changed, 110 insertions(+) create mode 100644 tests/various/scopeinfo.ys diff --git a/tests/various/scopeinfo.ys b/tests/various/scopeinfo.ys new file mode 100644 index 000000000..f8d4ca31b --- /dev/null +++ b/tests/various/scopeinfo.ys @@ -0,0 +1,110 @@ +read_verilog < Date: Thu, 11 Jan 2024 14:10:13 +0100 Subject: [PATCH 066/159] Ignore $scopeinfo in opt_merge --- passes/opt/opt_merge.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/passes/opt/opt_merge.cc b/passes/opt/opt_merge.cc index 248ba8091..eb3aa462e 100644 --- a/passes/opt/opt_merge.cc +++ b/passes/opt/opt_merge.cc @@ -272,6 +272,9 @@ struct OptMergeWorker if ((!mode_share_all && !ct.cell_known(cell->type)) || !cell->known()) continue; + if (cell->type == ID($scopeinfo)) + continue; + uint64_t hash = hash_cell_parameters_and_connections(cell); auto r = sharemap.insert(std::make_pair(hash, cell)); if (!r.second) { From 10d5d358d2b8f82767953e7c5be44637a245582b Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 14:10:25 +0100 Subject: [PATCH 067/159] Ignore $scopeinfo in write_aiger While SBY's aiger flow already removes non-assertion driving logic, there are some uses of write_aiger outside of SBY that could end up with $scopeinfo cells, so we explicitly ignore them. The write_btor backend works differently and due to the way it recursively visits cells, it would never reach isolated cells like $scopeinfo. --- backends/aiger/aiger.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/aiger/aiger.cc b/backends/aiger/aiger.cc index f77a64978..fe4f7681d 100644 --- a/backends/aiger/aiger.cc +++ b/backends/aiger/aiger.cc @@ -320,6 +320,9 @@ struct AigerWriter continue; } + if (cell->type == ID($scopeinfo)) + continue; + log_error("Unsupported cell type: %s (%s)\n", log_id(cell->type), log_id(cell)); } From 5cfbc1604cb75b39ce92120bab61168cea84da35 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 14:54:02 +0100 Subject: [PATCH 068/159] Ignore $scopeinfo in write_edif --- backends/edif/edif.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/edif/edif.cc b/backends/edif/edif.cc index 00fd7f54e..553eb23d6 100644 --- a/backends/edif/edif.cc +++ b/backends/edif/edif.cc @@ -213,6 +213,9 @@ struct EdifBackend : public Backend { for (auto cell : module->cells()) { + if (cell->type == ID($scopeinfo)) + continue; + if (design->module(cell->type) == nullptr || design->module(cell->type)->get_blackbox_attribute()) { lib_cell_ports[cell->type]; for (auto p : cell->connections()) From 59a60c76fe1f52d0f1000bb2274bbdbc455af827 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 14:57:34 +0100 Subject: [PATCH 069/159] Ignore $scopeinfo in write_blif --- backends/blif/blif.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/blif/blif.cc b/backends/blif/blif.cc index 8e2c088c4..788b7f951 100644 --- a/backends/blif/blif.cc +++ b/backends/blif/blif.cc @@ -226,6 +226,9 @@ struct BlifDumper for (auto cell : module->cells()) { + if (cell->type == ID($scopeinfo)) + continue; + if (config->unbuf_types.count(cell->type)) { auto portnames = config->unbuf_types.at(cell->type); f << stringf(".names %s %s\n1 1\n", From 55d8425468da6153a2b2bc9a08eb1fd4fec95cb4 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 15:00:09 +0100 Subject: [PATCH 070/159] Ignore $scopeinfo in write_firrtl --- backends/firrtl/firrtl.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/firrtl/firrtl.cc b/backends/firrtl/firrtl.cc index fc1d62891..dc76dbeec 100644 --- a/backends/firrtl/firrtl.cc +++ b/backends/firrtl/firrtl.cc @@ -980,6 +980,9 @@ struct FirrtlWorker register_reverse_wire_map(y_id, cell->getPort(ID::Y)); continue; } + + if (cell->type == ID($scopeinfo)) + continue; log_error("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell)); } From 418bf61b8d70b1683cb0644dfc4646a271031ce4 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 15:11:11 +0100 Subject: [PATCH 071/159] Ignore $scopeinfo in write_smv --- backends/smv/smv.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/smv/smv.cc b/backends/smv/smv.cc index 49c2cc7a6..44e200384 100644 --- a/backends/smv/smv.cc +++ b/backends/smv/smv.cc @@ -573,6 +573,9 @@ struct SmvWorker continue; } + if (cell->type == ID($scopeinfo)) + continue; + if (cell->type[0] == '$') { if (cell->type.in(ID($dffe), ID($sdff), ID($sdffe), ID($sdffce)) || cell->type.str().substr(0, 6) == "$_SDFF" || (cell->type.str().substr(0, 6) == "$_DFFE" && cell->type.str().size() == 10)) { log_error("Unsupported cell type %s for cell %s.%s -- please run `dffunmap` before `write_smv`.\n", From 5ee8bebde4ec9893f57917f9580700ad50756190 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 15:12:32 +0100 Subject: [PATCH 072/159] Ignore $scopeinfo in write_spice --- backends/spice/spice.cc | 3 +++ 1 file changed, 3 insertions(+) diff --git a/backends/spice/spice.cc b/backends/spice/spice.cc index f260276eb..1160a01a1 100644 --- a/backends/spice/spice.cc +++ b/backends/spice/spice.cc @@ -72,6 +72,9 @@ static void print_spice_module(std::ostream &f, RTLIL::Module *module, RTLIL::De for (auto cell : module->cells()) { + if (cell->type == ID($scopeinfo)) + continue; + f << stringf("X%d", cell_counter++); std::vector port_sigs; From f31fb95963507d6ddd00cd25a2eaa90d6a191ae7 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Thu, 11 Jan 2024 15:18:37 +0100 Subject: [PATCH 073/159] Ignore $scopeinfo in write_verilog --- backends/verilog/verilog_backend.cc | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc index 988eef658..05b7c6c40 100644 --- a/backends/verilog/verilog_backend.cc +++ b/backends/verilog/verilog_backend.cc @@ -1871,6 +1871,13 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell) void dump_cell(std::ostream &f, std::string indent, RTLIL::Cell *cell) { + // To keep the output compatible with other tools we ignore $scopeinfo + // cells that exist only to hold metadata. If in the future that metadata + // should be exposed as part of the write_verilog output it should be + // opt-in and/or represented as something else than a $scopeinfo cell. + if (cell->type == ID($scopeinfo)) + return; + // Handled by dump_memory if (cell->is_mem_cell()) return; From bbe39762aded86bf621e6f344a5b7e5b804c73d6 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Fri, 12 Jan 2024 14:14:01 +0100 Subject: [PATCH 074/159] Ignore $scopeinfo in write_json --- backends/json/json.cc | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/backends/json/json.cc b/backends/json/json.cc index fd2c922fd..2f442c494 100644 --- a/backends/json/json.cc +++ b/backends/json/json.cc @@ -192,6 +192,10 @@ struct JsonWriter for (auto c : module->cells()) { if (use_selection && !module->selected(c)) continue; + // Eventually we will want to emit $scopeinfo, but currently this + // will break JSON netlist consumers like nextpnr + if (c->type == ID($scopeinfo)) + continue; f << stringf("%s\n", first ? "" : ","); f << stringf(" %s: {\n", get_name(c->name).c_str()); f << stringf(" \"hide_name\": %s,\n", c->name[0] == '$' ? "1" : "0"); From 0d5b48de989246ede8554fd93180c5d791e262b1 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 29 Jan 2024 14:46:39 +0100 Subject: [PATCH 075/159] Add scopeinfo index/lookup utils --- Makefile | 3 +- kernel/scopeinfo.cc | 129 +++++++++++++ kernel/scopeinfo.h | 432 ++++++++++++++++++++++++++++++++++++++++++++ 3 files changed, 563 insertions(+), 1 deletion(-) create mode 100644 kernel/scopeinfo.cc create mode 100644 kernel/scopeinfo.h diff --git a/Makefile b/Makefile index 901106802..ec7454674 100644 --- a/Makefile +++ b/Makefile @@ -630,6 +630,7 @@ $(eval $(call add_include_file,kernel/qcsat.h)) $(eval $(call add_include_file,kernel/register.h)) $(eval $(call add_include_file,kernel/rtlil.h)) $(eval $(call add_include_file,kernel/satgen.h)) +$(eval $(call add_include_file,kernel/scopeinfo.h)) $(eval $(call add_include_file,kernel/sigtools.h)) $(eval $(call add_include_file,kernel/timinginfo.h)) $(eval $(call add_include_file,kernel/utils.h)) @@ -656,7 +657,7 @@ $(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_v OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o OBJS += kernel/binding.o -OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o +OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o ifeq ($(ENABLE_ZLIB),1) OBJS += kernel/fstdata.o endif diff --git a/kernel/scopeinfo.cc b/kernel/scopeinfo.cc new file mode 100644 index 000000000..7ed9ebf33 --- /dev/null +++ b/kernel/scopeinfo.cc @@ -0,0 +1,129 @@ +/* + * yosys -- Yosys Open SYnthesis Suite + * + * Copyright (C) 2024 Jannis Harder + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#include "kernel/scopeinfo.h" + +YOSYS_NAMESPACE_BEGIN + +template void ModuleHdlnameIndex::index_items(I begin, I end, Filter filter) +{ + for (; begin != end; ++begin) { + auto const &item = *begin; + + if (!filter(item)) + continue; + std::vector path = parse_hdlname(item); + if (!path.empty()) + lookup.emplace(item, tree.insert(path, item)); + } +} + +void ModuleHdlnameIndex::index() +{ + index_wires(); + index_cells(); +} + +void ModuleHdlnameIndex::index_wires() +{ + auto wires = module->wires(); + index_items(wires.begin(), wires.end(), [](Wire *) { return true; }); +} + +void ModuleHdlnameIndex::index_cells() +{ + auto cells = module->cells(); + index_items(cells.begin(), cells.end(), [](Cell *) { return true; }); +} + +void ModuleHdlnameIndex::index_scopeinfo_cells() +{ + auto cells = module->cells(); + index_items(cells.begin(), cells.end(), [](Cell *cell) { return cell->type == ID($scopeinfo); }); +} + +std::vector ModuleHdlnameIndex::scope_sources(Cursor cursor) +{ + std::vector result; + + for (; !cursor.is_root(); cursor = cursor.parent()) { + if (!cursor.has_entry()) { + result.push_back(""); + result.push_back(""); + continue; + } + Cell *cell = cursor.entry().cell(); + if (cell == nullptr || cell->type != ID($scopeinfo)) { + result.push_back(""); + result.push_back(""); + continue; + } + result.push_back(scopeinfo_get_attribute(cell, ScopeinfoAttrs::Module, ID::src).decode_string()); + result.push_back(scopeinfo_get_attribute(cell, ScopeinfoAttrs::Cell, ID::src).decode_string()); + } + + result.push_back(module->get_src_attribute()); + + std::reverse(result.begin(), result.end()); + + return result; +} + +static const char *attr_prefix(ScopeinfoAttrs attrs) +{ + switch (attrs) { + case ScopeinfoAttrs::Cell: + return "\\cell_"; + case ScopeinfoAttrs::Module: + return "\\module_"; + default: + log_abort(); + } +} + +bool scopeinfo_has_attribute(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs, const RTLIL::IdString &id) +{ + log_assert(scopeinfo->type == ID($scopeinfo)); + return scopeinfo->has_attribute(attr_prefix(attrs) + RTLIL::unescape_id(id)); +} + +RTLIL::Const scopeinfo_get_attribute(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs, const RTLIL::IdString &id) +{ + log_assert(scopeinfo->type == ID($scopeinfo)); + auto found = scopeinfo->attributes.find(attr_prefix(attrs) + RTLIL::unescape_id(id)); + if (found == scopeinfo->attributes.end()) + return RTLIL::Const(); + return found->second; +} + +dict scopeinfo_attributes(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs) +{ + dict attributes; + + const char *prefix = attr_prefix(attrs); + int prefix_len = strlen(prefix); + + for (auto const &entry : scopeinfo->attributes) + if (entry.first.begins_with(prefix)) + attributes.emplace(RTLIL::escape_id(entry.first.c_str() + prefix_len), entry.second); + + return attributes; +} + +YOSYS_NAMESPACE_END diff --git a/kernel/scopeinfo.h b/kernel/scopeinfo.h new file mode 100644 index 000000000..71af70344 --- /dev/null +++ b/kernel/scopeinfo.h @@ -0,0 +1,432 @@ +/* + * yosys -- Yosys Open SYnthesis Suite + * + * Copyright (C) 2024 Jannis Harder + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#ifndef SCOPEINFO_H +#define SCOPEINFO_H + +#include +#include + +#include "kernel/yosys.h" +#include "kernel/celltypes.h" + +YOSYS_NAMESPACE_BEGIN + +template +class IdTree +{ +public: + struct Cursor; + +protected: + IdTree *parent = nullptr; + IdString scope_name; + int depth = 0; + + pool names; + dict entries; +public: // XXX + dict> subtrees; + + template + static Cursor do_insert(IdTree *tree, P begin, P end, T_ref &&value) + { + log_assert(begin != end && "path must be non-empty"); + while (true) { + IdString name = *begin; + ++begin; + log_assert(!name.empty()); + tree->names.insert(name); + if (begin == end) { + tree->entries.emplace(name, std::forward(value)); + return Cursor(tree, name); + } + auto &unique = tree->subtrees[name]; + if (!unique) { + unique.reset(new IdTree); + unique->scope_name = name; + unique->parent = tree; + unique->depth = tree->depth + 1; + } + tree = unique.get(); + } + } + +public: + IdTree() = default; + IdTree(const IdTree &) = delete; + IdTree(IdTree &&) = delete; + + // A cursor remains valid as long as the (sub-)IdTree it points at is alive + struct Cursor + { + friend class IdTree; + protected: + public: + IdTree *target; + IdString scope_name; + + Cursor() : target(nullptr) {} + Cursor(IdTree *target, IdString scope_name) : target(target), scope_name(scope_name) { + if (scope_name.empty()) + log_assert(target->parent == nullptr); + } + + Cursor do_first_child() { + IdTree *tree = nullptr; + if (scope_name.empty()) { + tree = target; + } else { + auto found = target->subtrees.find(scope_name); + if (found != target->subtrees.end()) { + tree = found->second.get(); + } else { + return Cursor(); + } + } + if (tree->names.empty()) { + return Cursor(); + } + return Cursor(tree, *tree->names.begin()); + } + + Cursor do_next_sibling() { + if (scope_name.empty()) + return Cursor(); + auto found = target->names.find(scope_name); + if (found == target->names.end()) + return Cursor(); + ++found; + if (found == target->names.end()) + return Cursor(); + return Cursor(target, *found); + } + + Cursor do_parent() { + if (scope_name.empty()) + return Cursor(); + if (target->parent != nullptr) + return Cursor(target->parent, target->scope_name); + return Cursor(target, IdString()); + } + + Cursor do_next_preorder() { + Cursor current = *this; + Cursor next = current.do_first_child(); + if (next.valid()) + return next; + while (current.valid()) { + if (next.valid()) + return next; + next = current.do_next_sibling(); + if (next.valid()) + return next; + current = current.do_parent(); + } + return current; + } + + Cursor do_child(IdString name) { + IdTree *tree = nullptr; + if (scope_name.empty()) { + tree = target; + } else { + auto found = target->subtrees.find(scope_name); + if (found != target->subtrees.end()) { + tree = found->second.get(); + } else { + return Cursor(); + } + } + auto found = tree->names.find(name); + if (found == tree->names.end()) { + return Cursor(); + } + return Cursor(tree, *found); + } + + public: + bool operator==(const Cursor &other) const { + return target == other.target && scope_name == other.scope_name; + } + bool operator!=(const Cursor &other) const { + return !(*this == other); + } + + bool valid() const { + return target != nullptr; + } + + int depth() const { + log_assert(valid()); + return target->depth + !scope_name.empty(); + } + + bool is_root() const { + return target != nullptr && scope_name.empty(); + } + + bool has_entry() const { + log_assert(valid()); + return !scope_name.empty() && target->entries.count(scope_name); + } + + T &entry() { + log_assert(!scope_name.empty()); + return target->entries.at(scope_name); + } + + void assign_path_to(std::vector &out_path) { + log_assert(valid()); + out_path.clear(); + if (scope_name.empty()) + return; + out_path.push_back(scope_name); + IdTree *current = target; + while (current->parent) { + out_path.push_back(current->scope_name); + current = current->parent; + } + std::reverse(out_path.begin(), out_path.end()); + } + + std::vector path() { + std::vector result; + assign_path_to(result); + return result; + } + + std::string path_str() { + std::string result; + for (const auto &item : path()) { + if (!result.empty()) + result.push_back(' '); + result += RTLIL::unescape_id(item); + } + return result; + } + + Cursor first_child() { + log_assert(valid()); + return do_first_child(); + } + + Cursor next_preorder() { + log_assert(valid()); + return do_next_preorder(); + } + + Cursor parent() { + log_assert(valid()); + return do_parent(); + } + + Cursor child(IdString name) { + log_assert(valid()); + return do_child(name); + } + + Cursor common_ancestor(Cursor other) { + Cursor current = *this; + + while (current != other) { + if (!current.valid() || !other.valid()) + return Cursor(); + int delta = current.depth() - other.depth(); + if (delta >= 0) + current = current.do_parent(); + if (delta <= 0) + other = other.do_parent(); + } + return current; + } + }; + + template + Cursor insert(P begin, P end, const T &value) { + return do_insert(this, begin, end, value); + } + + template + Cursor insert(P begin, P end, T &&value) { + return do_insert(this, begin, end, std::move(value)); + } + + template + Cursor insert(const P &path, const T &value) { + return do_insert(this, path.begin(), path.end(), value); + } + + template + Cursor insert(const P &path, T &&value) { + return do_insert(this, path.begin(), path.end(), std::move(value)); + } + + Cursor cursor() { + return parent ? Cursor(this->parent, this->scope_name) : Cursor(this, IdString()); + } + + template + Cursor cursor(P begin, P end) { + Cursor current = cursor(); + for (; begin != end; ++begin) { + current = current.do_child(*begin); + if (!current.valid()) + break; + } + return current; + } + + template + Cursor cursor(const P &path) { + return cursor(path.begin(), path.end()); + } +}; + + +struct ModuleItem { + enum class Type { + Wire, + Cell, + }; + Type type; + void *ptr; + + ModuleItem(Wire *wire) : type(Type::Wire), ptr(wire) {} + ModuleItem(Cell *cell) : type(Type::Cell), ptr(cell) {} + + bool is_wire() const { return type == Type::Wire; } + bool is_cell() const { return type == Type::Cell; } + + Wire *wire() const { return type == Type::Wire ? static_cast(ptr) : nullptr; } + Cell *cell() const { return type == Type::Cell ? static_cast(ptr) : nullptr; } + + bool operator==(const ModuleItem &other) const { return ptr == other.ptr && type == other.type; } + unsigned int hash() const { return (uintptr_t)ptr; } +}; + +static inline void log_dump_val_worker(typename IdTree::Cursor cursor ) { log("%p %s", cursor.target, log_id(cursor.scope_name)); } + +template +static inline void log_dump_val_worker(const typename std::unique_ptr &cursor ) { log("unique %p", cursor.get()); } + +template +std::vector parse_hdlname(const O* object) +{ + std::vector path; + if (!object->name.isPublic()) + return path; + for (auto const &item : object->get_hdlname_attribute()) + path.push_back("\\" + item); + if (path.empty()) + path.push_back(object->name); + return path; +} + +template +std::pair, IdString> parse_scopename(const O* object) +{ + std::vector path; + IdString trailing = object->name; + if (object->name.isPublic()) { + for (auto const &item : object->get_hdlname_attribute()) + path.push_back("\\" + item); + if (!path.empty()) { + trailing = path.back(); + path.pop_back(); + } + } else { + for (auto const &item : split_tokens(object->get_string_attribute(ID(scopename)), " ")) + path.push_back("\\" + item); + + } + return {path, trailing}; +} + +struct ModuleHdlnameIndex { + typedef IdTree::Cursor Cursor; + + RTLIL::Module *module; + IdTree tree; + dict lookup; + + ModuleHdlnameIndex(RTLIL::Module *module) : module(module) {} + +private: + template + void index_items(I begin, I end, Filter filter); + +public: + // Index all wires and cells of the module + void index(); + + // Index all wires of the module + void index_wires(); + + // Index all cells of the module + void index_cells(); + + // Index only the $scopeinfo cells of the module. + // This is sufficient when using `containing_scope`. + void index_scopeinfo_cells(); + + + // Return the cursor for the containing scope of some RTLIL object (Wire/Cell/...) + template + std::pair containing_scope(O *object) { + auto pair = parse_scopename(object); + return {tree.cursor(pair.first), pair.second}; + } + + // Return a vector of source locations starting from the indexed module to + // the scope represented by the cursor. The vector alternates module and + // module item source locations, using empty strings for missing src + // attributes. + std::vector scope_sources(Cursor cursor); + + // Return a vector of source locations starting from the indexed module to + // the passed RTLIL object (Wire/Cell/...). The vector alternates module + // and module item source locations, using empty strings for missing src + // attributes. + template + std::vector sources(O *object) { + auto pair = parse_scopename(object); + std::vector result = scope_sources(tree.cursor(pair.first)); + result.push_back(object->get_src_attribute()); + return result; + } +}; + +enum class ScopeinfoAttrs { + Module, + Cell, +}; + +// Check whether the flattened module or flattened cell corresponding to a $scopeinfo cell had a specific attribute. +bool scopeinfo_has_attribute(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs, const RTLIL::IdString &id); + +// Get a specific attribute from the flattened module or flattened cell corresponding to a $scopeinfo cell. +RTLIL::Const scopeinfo_get_attribute(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs, const RTLIL::IdString &id); + +// Get all attribute from the flattened module or flattened cell corresponding to a $scopeinfo cell. +dict scopeinfo_attributes(const RTLIL::Cell *scopeinfo, ScopeinfoAttrs attrs); + +YOSYS_NAMESPACE_END + +#endif From 364bcfb8f1accacda63efa0e57c777c70ad5a7bc Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Mon, 29 Jan 2024 21:28:51 +0100 Subject: [PATCH 076/159] Example pass for the scopeinfo index/lookup utils --- examples/cxx-api/scopeinfo_example.cc | 144 ++++++++++++++++++++++++++ 1 file changed, 144 insertions(+) create mode 100644 examples/cxx-api/scopeinfo_example.cc diff --git a/examples/cxx-api/scopeinfo_example.cc b/examples/cxx-api/scopeinfo_example.cc new file mode 100644 index 000000000..f163dff9e --- /dev/null +++ b/examples/cxx-api/scopeinfo_example.cc @@ -0,0 +1,144 @@ +/* + * yosys -- Yosys Open SYnthesis Suite + * + * Copyright (C) 2023 Jannis Harder + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +// build: yosys-config --build scopeinfo_example.so scopeinfo_example.cc +// use: yosys -m scopeinfo_example.so + +#include "backends/rtlil/rtlil_backend.h" +#include "kernel/scopeinfo.h" +#include "kernel/yosys.h" + +USING_YOSYS_NAMESPACE +PRIVATE_NAMESPACE_BEGIN + +struct ScopeinfoExamplePass : public Pass { + ScopeinfoExamplePass() : Pass("scopeinfo_example", "dump scopeinfo") {} + void help() override + { + // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---| + log("\n"); + log(" scopeinfo_example [options] [selection]\n"); + log("\n"); + } + + void execute(std::vector args, RTLIL::Design *design) override + { + log_header(design, "Executing SCOPEINFO_EXAMPLE pass.\n"); + + bool do_wires = false; + bool do_common = false; + + size_t argidx; + for (argidx = 1; argidx < args.size(); argidx++) { + if (args[argidx] == "-wires") { + do_wires = true; + continue; + } + if (args[argidx] == "-common") { + do_common = true; + continue; + } + break; + } + extra_args(args, argidx, design); + + + if (do_wires) { + for (auto module : design->selected_modules()) { + log("Source hierarchy for all selected wires within %s:\n", log_id(module)); + ModuleHdlnameIndex index(module); + + index.index_scopeinfo_cells(); + + for (auto wire : module->selected_wires()) { + if (!wire->name.isPublic()) + continue; + + auto wire_scope = index.containing_scope(wire); + + if (!wire_scope.first.valid()) { + log_warning("Couldn't find containing scope for %s in index\n", log_id(wire)); + continue; + } + + log("%s %s\n", wire_scope.first.path_str().c_str(), log_id(wire_scope.second)); + for (auto src : index.sources(wire)) + log(" - %s\n", src.c_str()); + } + } + } + + if (do_common) { + for (auto module : design->selected_modules()) { + std::vector wires = module->selected_wires(); + + // Shuffle wires so this example produces more interesting outputs + std::sort(wires.begin(), wires.end(), [](Wire *a, Wire *b) { + return mkhash_xorshift(a->name.hash() * 0x2c9277b5) < mkhash_xorshift(b->name.hash() * 0x2c9277b5); + }); + + ModuleHdlnameIndex index(module); + + index.index_scopeinfo_cells(); + + for (auto wire_i = wires.begin(), wire_end = wires.end(); wire_i != wire_end; ++wire_i) { + if (!(*wire_i)->name.isPublic()) + continue; + + std::pair scope_i = index.containing_scope(*wire_i); + if (!scope_i.first.valid()) + continue; + + int limit = 0; + + for (auto wire_j = wire_i + 1; wire_j != wire_end; ++wire_j) { + if (!(*wire_j)->name.isPublic()) + continue; + + std::pair scope_j = index.containing_scope(*wire_j); + if (!scope_j.first.valid()) + continue; + + // Skip wires in the same hierarchy level + if (scope_i.first == scope_j.first) + continue; + + + ModuleHdlnameIndex::Cursor common = scope_i.first.common_ancestor(scope_j.first); + + // Try to show at least some non-root common ancestors + if (common.is_root() && limit > 5) + continue; + + log("common_ancestor(%s %s%s%s, %s %s%s%s) = %s %s\n", + log_id(module), scope_i.first.path_str().c_str(), scope_i.first.is_root() ? "" : " ", log_id(scope_i.second), + log_id(module), scope_j.first.path_str().c_str(), scope_j.first.is_root() ? "" : " ", log_id(scope_j.second), + log_id(module), common.path_str().c_str() + ); + + if (++limit == 10) + break; + } + } + } + } + } +} ScopeinfoExamplePass; + +PRIVATE_NAMESPACE_END From 16ff3e0a30c4aebd1d6735d39ccd697d467e85e4 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 7 Feb 2024 00:14:46 +0000 Subject: [PATCH 077/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 901106802..63d973e2d 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+83 +YOSYS_VER := 0.37+90 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From a98d363d9dc87f0c06b88b1cf8d75f10a4feef29 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 00:03:02 +0100 Subject: [PATCH 078/159] synth: Run script in full in help mode --- techlibs/common/synth.cc | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/techlibs/common/synth.cc b/techlibs/common/synth.cc index 006a3c8dd..b01c5e56c 100644 --- a/techlibs/common/synth.cc +++ b/techlibs/common/synth.cc @@ -230,13 +230,13 @@ struct SynthPass : public ScriptPass { if (check_label("coarse")) { run("proc"); - if (help_mode || flatten) + if (flatten || help_mode) run("flatten", " (if -flatten)"); run("opt_expr"); run("opt_clean"); run("check"); run("opt -nodffe -nosdff"); - if (!nofsm) + if (!nofsm || help_mode) run("fsm" + fsm_opts, " (unless -nofsm)"); run("opt"); run("wreduce"); @@ -246,8 +246,8 @@ struct SynthPass : public ScriptPass { run("techmap -map +/cmp2lut.v -map +/cmp2lcu.v", " (if -lut)"); else if (lut) run(stringf("techmap -map +/cmp2lut.v -map +/cmp2lcu.v -D LUT_WIDTH=%d", lut)); - if (booth) - run("booth"); + if (booth || help_mode) + run("booth", " (if -booth)"); if (!noalumacc) run("alumacc", " (unless -noalumacc)"); if (!noshare) @@ -274,7 +274,7 @@ struct SynthPass : public ScriptPass { } run("opt -fast"); - if (!noabc && !flowmap) { + if ((!noabc && !flowmap) || help_mode) { #ifdef YOSYS_ENABLE_ABC if (help_mode) { run(abc + " -fast", " (unless -noabc, unless -lut)"); From 7a3316dd78f891a8fd919edf5484583df9152c43 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 00:03:15 +0100 Subject: [PATCH 079/159] synth: Tweak phrasing of `-booth` help --- techlibs/common/synth.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/techlibs/common/synth.cc b/techlibs/common/synth.cc index b01c5e56c..e5013678a 100644 --- a/techlibs/common/synth.cc +++ b/techlibs/common/synth.cc @@ -60,7 +60,7 @@ struct SynthPass : public ScriptPass { log(" do not run abc (as if yosys was compiled without ABC support)\n"); log("\n"); log(" -booth\n"); - log(" run the booth pass to convert $mul to Booth encoded multipliers"); + log(" run the booth pass to map $mul to Booth encoded multipliers\n"); log("\n"); log(" -noalumacc\n"); log(" do not run 'alumacc' pass. i.e. keep arithmetic operators in\n"); From 862f2fd705a7822c7d5cf30ceab2bc8ff49eb1e1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 00:07:51 +0100 Subject: [PATCH 080/159] proc_dlatch: Include `$bwmux` among considered mux cells --- passes/proc/proc_dlatch.cc | 16 +++++++++++----- 1 file changed, 11 insertions(+), 5 deletions(-) diff --git a/passes/proc/proc_dlatch.cc b/passes/proc/proc_dlatch.cc index 8340e1431..5b392ce51 100644 --- a/passes/proc/proc_dlatch.cc +++ b/passes/proc/proc_dlatch.cc @@ -46,7 +46,7 @@ struct proc_dlatch_db_t for (auto cell : module->cells()) { - if (cell->type.in(ID($mux), ID($pmux))) + if (cell->type.in(ID($mux), ID($pmux), ID($bwmux))) { auto sig_y = sigmap(cell->getPort(ID::Y)); for (int i = 0; i < GetSize(sig_y); i++) @@ -186,6 +186,8 @@ struct proc_dlatch_db_t Cell *cell = it->second.first; int index = it->second.second; + log_assert(cell->type.in(ID($mux), ID($pmux), ID($bwmux))); + bool is_bwmux = (cell->type == ID($bwmux)); SigSpec sig_a = sigmap(cell->getPort(ID::A)); SigSpec sig_b = sigmap(cell->getPort(ID::B)); SigSpec sig_s = sigmap(cell->getPort(ID::S)); @@ -200,12 +202,16 @@ struct proc_dlatch_db_t sig[index] = State::Sx; cell->setPort(ID::A, sig); } - for (int i = 0; i < GetSize(sig_s); i++) - n = make_inner(sig_s[i], State::S0, n); + if (!is_bwmux) { + for (int i = 0; i < GetSize(sig_s); i++) + n = make_inner(sig_s[i], State::S0, n); + } else { + n = make_inner(sig_s[index], State::S0, n); + } children.insert(n); } - for (int i = 0; i < GetSize(sig_s); i++) { + for (int i = 0; i < (is_bwmux ? 1 : GetSize(sig_s)); i++) { n = find_mux_feedback(sig_b[i*width + index], needle, set_undef); if (n != false_node) { if (set_undef && sig_b[i*width + index] == needle) { @@ -213,7 +219,7 @@ struct proc_dlatch_db_t sig[i*width + index] = State::Sx; cell->setPort(ID::B, sig); } - children.insert(make_inner(sig_s[i], State::S1, n)); + children.insert(make_inner(sig_s[is_bwmux ? index : i], State::S1, n)); } } From 2797d675695686fe5e6f6bc35988034cb67b77e4 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Thu, 8 Feb 2024 09:19:19 +0100 Subject: [PATCH 081/159] Move block and change message to debug --- kernel/driver.cc | 62 +++++++++++++++++++++++------------------------- 1 file changed, 30 insertions(+), 32 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index 373295b1d..ebd262bb6 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -243,38 +243,6 @@ int main(int argc, char **argv) bool mode_v = false; bool mode_q = false; -#if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - std::string state_dir; - #if defined(_WIN32) - if (getenv("HOMEDRIVE") != NULL && getenv("HOMEPATH") != NULL) { - state_dir = stringf("%s%s/.local/state", getenv("HOMEDRIVE"), getenv("HOMEPATH")); - } else { - log("$HOMEDRIVE and/or $HOMEPATH is empty. No history file will be created."); - } - #else - if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { - if (getenv("HOME") != NULL) { - state_dir = stringf("%s/.local/state", getenv("HOME")); - } else { - log("$HOME is empty. No history file will be created."); - } - } else { - state_dir = stringf("%s", getenv("XDG_STATE_HOME")); - } - #endif - - if (!state_dir.empty()) { - std::string yosys_dir = state_dir + "/yosys"; - create_directory(yosys_dir); - - yosys_history_file = yosys_dir + "/history"; - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); - } else { - log("Directory to put history file does not exist. If you are on Windows either $HOMEDRIVE or $HOMEPATH is empty."); - } -#endif - if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help"))) { printf("\n"); @@ -562,6 +530,36 @@ int main(int argc, char **argv) if (print_banner) yosys_banner(); +#if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) + std::string state_dir; + #if defined(_WIN32) + if (getenv("HOMEDRIVE") != NULL && getenv("HOMEPATH") != NULL) { + state_dir = stringf("%s%s/.local/state", getenv("HOMEDRIVE"), getenv("HOMEPATH")); + } else { + log_debug("$HOMEDRIVE and/or $HOMEPATH is empty. No history file will be created."); + } + #else + if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { + if (getenv("HOME") != NULL) { + state_dir = stringf("%s/.local/state", getenv("HOME")); + } else { + log_debug("$HOME is empty. No history file will be created."); + } + } else { + state_dir = stringf("%s", getenv("XDG_STATE_HOME")); + } + #endif + + if (!state_dir.empty()) { + std::string yosys_dir = state_dir + "/yosys"; + create_directory(yosys_dir); + + yosys_history_file = yosys_dir + "/history"; + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); + } +#endif + if (print_stats) log_hasher = new SHA1; From a38273c19d58f5dc58cf7ed6a3367a32452d193e Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Thu, 8 Feb 2024 12:19:42 +0100 Subject: [PATCH 082/159] add log_suppressed and fixed formatting --- kernel/driver.cc | 52 +++++++++++++++++++++++++----------------------- 1 file changed, 27 insertions(+), 25 deletions(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index ebd262bb6..8d9ecc91a 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -531,33 +531,33 @@ int main(int argc, char **argv) yosys_banner(); #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE) - std::string state_dir; - #if defined(_WIN32) - if (getenv("HOMEDRIVE") != NULL && getenv("HOMEPATH") != NULL) { - state_dir = stringf("%s%s/.local/state", getenv("HOMEDRIVE"), getenv("HOMEPATH")); - } else { - log_debug("$HOMEDRIVE and/or $HOMEPATH is empty. No history file will be created."); - } - #else - if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { - if (getenv("HOME") != NULL) { - state_dir = stringf("%s/.local/state", getenv("HOME")); - } else { - log_debug("$HOME is empty. No history file will be created."); - } - } else { - state_dir = stringf("%s", getenv("XDG_STATE_HOME")); - } - #endif + std::string state_dir; + #if defined(_WIN32) + if (getenv("HOMEDRIVE") != NULL && getenv("HOMEPATH") != NULL) { + state_dir = stringf("%s%s/.local/state", getenv("HOMEDRIVE"), getenv("HOMEPATH")); + } else { + log_debug("$HOMEDRIVE and/or $HOMEPATH is empty. No history file will be created.\n"); + } + #else + if (getenv("XDG_STATE_HOME") == NULL || getenv("XDG_STATE_HOME")[0] == '\0') { + if (getenv("HOME") != NULL) { + state_dir = stringf("%s/.local/state", getenv("HOME")); + } else { + log_debug("$HOME is empty. No history file will be created.\n"); + } + } else { + state_dir = stringf("%s", getenv("XDG_STATE_HOME")); + } + #endif - if (!state_dir.empty()) { - std::string yosys_dir = state_dir + "/yosys"; - create_directory(yosys_dir); + if (!state_dir.empty()) { + std::string yosys_dir = state_dir + "/yosys"; + create_directory(yosys_dir); - yosys_history_file = yosys_dir + "/history"; - read_history(yosys_history_file.c_str()); - yosys_history_offset = where_history(); - } + yosys_history_file = yosys_dir + "/history"; + read_history(yosys_history_file.c_str()); + yosys_history_offset = where_history(); + } #endif if (print_stats) @@ -591,6 +591,8 @@ int main(int argc, char **argv) for (auto &fn : plugin_filenames) load_plugin(fn, {}); + log_suppressed(); + if (!vlog_defines.empty()) { std::string vdef_cmd = "read -define"; for (auto vdef : vlog_defines) From 1236bb65b61998ad2aab43f52f737c7eef6caec6 Mon Sep 17 00:00:00 2001 From: Catherine Date: Thu, 8 Feb 2024 11:32:15 +0000 Subject: [PATCH 083/159] read_verilog: don't include empty `opt_sva_label` in span. Consider this SystemVerilog file: module top(...); input clk; input [7:0] data; input ack; always @(posedge clk) if (ack) begin assert(data != 8'h0a); end endmodule Before this commit, the span for the assert was: if (ack) begin> assert(data != 8'h0a)<; After this commit, the span for the assert is: if (ack) begin >assert(data != 8'h0a)<; This helps editor integrations that only look at the beginning of the span. --- frontends/verilog/verilog_parser.y | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index cb8c453c0..039e83491 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -2484,7 +2484,7 @@ assert: delete $5; } else { AstNode *node = new AstNode(assume_asserts_mode ? AST_ASSUME : AST_ASSERT, $5); - SET_AST_NODE_LOC(node, @1, @6); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @6); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); @@ -2497,7 +2497,7 @@ assert: delete $5; } else { AstNode *node = new AstNode(assert_assumes_mode ? AST_ASSERT : AST_ASSUME, $5); - SET_AST_NODE_LOC(node, @1, @6); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @6); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); @@ -2510,7 +2510,7 @@ assert: delete $6; } else { AstNode *node = new AstNode(assume_asserts_mode ? AST_FAIR : AST_LIVE, $6); - SET_AST_NODE_LOC(node, @1, @7); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @7); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); @@ -2523,7 +2523,7 @@ assert: delete $6; } else { AstNode *node = new AstNode(assert_assumes_mode ? AST_LIVE : AST_FAIR, $6); - SET_AST_NODE_LOC(node, @1, @7); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @7); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); @@ -2533,7 +2533,7 @@ assert: } | opt_sva_label TOK_COVER opt_property '(' expr ')' ';' { AstNode *node = new AstNode(AST_COVER, $5); - SET_AST_NODE_LOC(node, @1, @6); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @6); if ($1 != nullptr) { node->str = *$1; delete $1; @@ -2542,7 +2542,7 @@ assert: } | opt_sva_label TOK_COVER opt_property '(' ')' ';' { AstNode *node = new AstNode(AST_COVER, AstNode::mkconst_int(1, false)); - SET_AST_NODE_LOC(node, @1, @5); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @5); if ($1 != nullptr) { node->str = *$1; delete $1; @@ -2551,7 +2551,7 @@ assert: } | opt_sva_label TOK_COVER ';' { AstNode *node = new AstNode(AST_COVER, AstNode::mkconst_int(1, false)); - SET_AST_NODE_LOC(node, @1, @2); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @2); if ($1 != nullptr) { node->str = *$1; delete $1; @@ -2563,7 +2563,7 @@ assert: delete $5; } else { AstNode *node = new AstNode(AST_ASSUME, $5); - SET_AST_NODE_LOC(node, @1, @6); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @6); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); @@ -2578,7 +2578,7 @@ assert: delete $6; } else { AstNode *node = new AstNode(AST_FAIR, $6); - SET_AST_NODE_LOC(node, @1, @7); + SET_AST_NODE_LOC(node, ($1 != nullptr ? @1 : @2), @7); if ($1 != nullptr) node->str = *$1; ast_stack.back()->children.push_back(node); From 66479a223208a990cd3cf203d3fbafb5cd2c078c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 11:15:26 +0100 Subject: [PATCH 084/159] hashlib: Add missing `stdint.h` include We use `uint32_t` `uint64_t` etc. so add an explicit include. --- kernel/hashlib.h | 2 ++ 1 file changed, 2 insertions(+) diff --git a/kernel/hashlib.h b/kernel/hashlib.h index 25aa94b80..e8ddddd33 100644 --- a/kernel/hashlib.h +++ b/kernel/hashlib.h @@ -17,6 +17,8 @@ #include #include +#include + namespace hashlib { const int hashtable_size_trigger = 2; From af1a5cfeb9b6cd9ed86adf04dd5aad688392b466 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 17:46:00 +0100 Subject: [PATCH 085/159] Address `SigBit`/`SigSpec` confusion issues under c++20 --- passes/opt/opt_dff.cc | 2 +- passes/opt/opt_ffinv.cc | 3 ++- passes/opt/opt_lut.cc | 8 ++++++-- passes/pmgen/ice40_dsp.pmg | 4 ++-- passes/pmgen/xilinx_dsp.pmg | 4 ++-- passes/pmgen/xilinx_dsp48a.pmg | 4 ++-- passes/pmgen/xilinx_dsp_CREG.pmg | 2 +- passes/pmgen/xilinx_dsp_cascade.pmg | 4 ++-- passes/techmap/extract_fa.cc | 2 +- 9 files changed, 19 insertions(+), 14 deletions(-) diff --git a/passes/opt/opt_dff.cc b/passes/opt/opt_dff.cc index f090d20b2..b77be4515 100644 --- a/passes/opt/opt_dff.cc +++ b/passes/opt/opt_dff.cc @@ -353,7 +353,7 @@ struct OptDffWorker // Try a more complex conversion to plain async reset. State val_neutral = ff.pol_set ? State::S0 : State::S1; Const val_arst; - SigSpec sig_arst; + SigBit sig_arst; if (ff.sig_clr[0] == val_neutral) sig_arst = ff.sig_set[0]; else diff --git a/passes/opt/opt_ffinv.cc b/passes/opt/opt_ffinv.cc index 3f7b4bc4a..d982ef2d2 100644 --- a/passes/opt/opt_ffinv.cc +++ b/passes/opt/opt_ffinv.cc @@ -38,6 +38,7 @@ struct OptFfInvWorker // - ... which has no other users // - all users of FF are LUTs bool push_d_inv(FfData &ff) { + log_assert(ff.width == 1); if (index.query_is_input(ff.sig_d)) return false; if (index.query_is_output(ff.sig_d)) @@ -90,7 +91,7 @@ struct OptFfInvWorker int flip_mask = 0; SigSpec sig_a = lut->getPort(ID::A); for (int i = 0; i < GetSize(sig_a); i++) { - if (index.sigmap(sig_a[i]) == index.sigmap(ff.sig_q)) { + if (index.sigmap(sig_a[i]) == index.sigmap(ff.sig_q[0])) { flip_mask |= 1 << i; } } diff --git a/passes/opt/opt_lut.cc b/passes/opt/opt_lut.cc index 3907285f3..fbe61b669 100644 --- a/passes/opt/opt_lut.cc +++ b/passes/opt/opt_lut.cc @@ -167,7 +167,11 @@ struct OptLutWorker legal = false; break; } - if (sigmap(lut_input[dlogic_conn.first]) != sigmap(lut_dlogic.second->getPort(dlogic_conn.second))) + + if (lut_dlogic.second->getPort(dlogic_conn.second).size() != 1) + continue; + + if (sigmap(lut_input[dlogic_conn.first]) != sigmap(lut_dlogic.second->getPort(dlogic_conn.second)[0])) { log_debug(" LUT has illegal connection to %s cell %s.%s.\n", lut_dlogic.second->type.c_str(), log_id(module), log_id(lut_dlogic.second)); log_debug(" LUT input A[%d] (wire %s) not connected to %s port %s (wire %s).\n", dlogic_conn.first, log_signal(lut_input[dlogic_conn.first]), lut_dlogic.second->type.c_str(), dlogic_conn.second.c_str(), log_signal(lut_dlogic.second->getPort(dlogic_conn.second))); @@ -314,7 +318,7 @@ struct OptLutWorker auto lutA = worklist.pop(); SigSpec lutA_input = sigmap(lutA->getPort(ID::A)); - SigSpec lutA_output = sigmap(lutA->getPort(ID::Y)[0]); + SigBit lutA_output = sigmap(lutA->getPort(ID::Y)[0]); int lutA_width = lutA->getParam(ID::WIDTH).as_int(); int lutA_arity = luts_arity[lutA]; pool &lutA_dlogic_inputs = luts_dlogic_inputs[lutA]; diff --git a/passes/pmgen/ice40_dsp.pmg b/passes/pmgen/ice40_dsp.pmg index 4de479122..9099dd3c4 100644 --- a/passes/pmgen/ice40_dsp.pmg +++ b/passes/pmgen/ice40_dsp.pmg @@ -346,7 +346,7 @@ endmatch code argQ argD { if (clock != SigBit()) { - if (port(ff, \CLK) != clock) + if (port(ff, \CLK)[0] != clock) reject; if (param(ff, \CLK_POLARITY).as_bool() != clock_pol) reject; @@ -393,7 +393,7 @@ endmatch code argQ if (ff) { if (clock != SigBit()) { - if (port(ff, \CLK) != clock) + if (port(ff, \CLK)[0] != clock) reject; if (param(ff, \CLK_POLARITY).as_bool() != clock_pol) reject; diff --git a/passes/pmgen/xilinx_dsp.pmg b/passes/pmgen/xilinx_dsp.pmg index 0cd23c09d..817a15a1e 100644 --- a/passes/pmgen/xilinx_dsp.pmg +++ b/passes/pmgen/xilinx_dsp.pmg @@ -415,7 +415,7 @@ match ff filter GetSize(port(ff, \Q)) >= offset + GetSize(argQ) filter port(ff, \Q).extract(offset, GetSize(argQ)) == argQ - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ @@ -465,7 +465,7 @@ match ff filter GetSize(port(ff, \D)) >= offset + GetSize(argD) filter port(ff, \D).extract(offset, GetSize(argD)) == argD - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ diff --git a/passes/pmgen/xilinx_dsp48a.pmg b/passes/pmgen/xilinx_dsp48a.pmg index dce1b61b0..f3bd9bc95 100644 --- a/passes/pmgen/xilinx_dsp48a.pmg +++ b/passes/pmgen/xilinx_dsp48a.pmg @@ -354,7 +354,7 @@ match ff filter GetSize(port(ff, \Q)) >= offset + GetSize(argQ) filter port(ff, \Q).extract(offset, GetSize(argQ)) == argQ - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ @@ -404,7 +404,7 @@ match ff filter GetSize(port(ff, \D)) >= offset + GetSize(argD) filter port(ff, \D).extract(offset, GetSize(argD)) == argD - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ diff --git a/passes/pmgen/xilinx_dsp_CREG.pmg b/passes/pmgen/xilinx_dsp_CREG.pmg index 95379771a..49e79dd87 100644 --- a/passes/pmgen/xilinx_dsp_CREG.pmg +++ b/passes/pmgen/xilinx_dsp_CREG.pmg @@ -135,7 +135,7 @@ match ff filter GetSize(port(ff, \Q)) >= offset + GetSize(argQ) filter port(ff, \Q).extract(offset, GetSize(argQ)) == argQ - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ diff --git a/passes/pmgen/xilinx_dsp_cascade.pmg b/passes/pmgen/xilinx_dsp_cascade.pmg index 06601554c..29fc27dfe 100644 --- a/passes/pmgen/xilinx_dsp_cascade.pmg +++ b/passes/pmgen/xilinx_dsp_cascade.pmg @@ -46,7 +46,7 @@ pattern xilinx_dsp_cascade udata > unextend udata >> chain longest_chain state next -state clock +state clock state AREG BREG // Variables used for subpatterns @@ -395,7 +395,7 @@ match ff filter GetSize(port(ff, \Q)) >= offset + GetSize(argQ) filter port(ff, \Q).extract(offset, GetSize(argQ)) == argQ - filter clock == SigBit() || port(ff, \CLK) == clock + filter clock == SigBit() || port(ff, \CLK)[0] == clock endmatch code argQ diff --git a/passes/techmap/extract_fa.cc b/passes/techmap/extract_fa.cc index 117fdd54c..ec1979f3b 100644 --- a/passes/techmap/extract_fa.cc +++ b/passes/techmap/extract_fa.cc @@ -281,7 +281,7 @@ struct ExtractFaWorker void assign_new_driver(SigBit bit, SigBit new_driver) { Cell *cell = driver.at(bit); - if (sigmap(cell->getPort(ID::Y)) == bit) { + if (sigmap(cell->getPort(ID::Y)) == SigSpec(bit)) { cell->setPort(ID::Y, module->addWire(NEW_ID)); module->connect(bit, new_driver); } From 043f1e2bcba3d3ee447ffbf5b2caefd706b7374d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 8 Feb 2024 17:47:21 +0100 Subject: [PATCH 086/159] opt_lut: Remove leftover `-dlogic` help --- passes/opt/opt_lut.cc | 6 ------ 1 file changed, 6 deletions(-) diff --git a/passes/opt/opt_lut.cc b/passes/opt/opt_lut.cc index 3907285f3..877d56bb1 100644 --- a/passes/opt/opt_lut.cc +++ b/passes/opt/opt_lut.cc @@ -529,12 +529,6 @@ struct OptLutPass : public Pass { log("\n"); log("This pass combines cascaded $lut cells with unused inputs.\n"); log("\n"); - log(" -dlogic :=[:=...]\n"); - log(" preserve connections to dedicated logic cell that has ports\n"); - log(" connected to LUT inputs . this includes\n"); - log(" the case where both LUT and dedicated logic input are connected to\n"); - log(" the same constant.\n"); - log("\n"); log(" -tech ice40\n"); log(" treat the design as a LUT-mapped circuit for the iCE40 architecture\n"); log(" and preserve connections to SB_CARRY as appropriate\n"); From 8e3a718e308049ef997da623768700b2d5ab83e1 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Fri, 9 Feb 2024 00:15:19 +0000 Subject: [PATCH 087/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 63d973e2d..11032350a 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+90 +YOSYS_VER := 0.37+119 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 543faed9c8cd7c33bbb407577d56e4b7444ba61c Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Fri, 9 Feb 2024 08:16:24 +0100 Subject: [PATCH 088/159] Release version 0.38 --- CHANGELOG | 18 +++++++++++++++++- Makefile | 4 ++-- 2 files changed, 19 insertions(+), 3 deletions(-) diff --git a/CHANGELOG b/CHANGELOG index 990f9e17d..bf7d35272 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -2,8 +2,24 @@ List of major changes and improvements between releases ======================================================= -Yosys 0.37 .. Yosys 0.38-dev +Yosys 0.37 .. Yosys 0.38 -------------------------- + * New commands and options + - Added option "-tech" to "opt_lut" pass. + - Added option "-nokeep_prints" to "hierarchy" pass. + - Added option "-nolower" to "async2sync" and "clk2fflogic" pass. + - Added option "-lower" to "chformal" pass. + + * Various + - Added $check cell to represent assertions with messages. + - Allow capturing $print cell output in CXXRTL. + - Added API to overwrite existing pass from plugin. + - Follow the XDG Base Directory Specification for storing history files. + - Without a known top module, derive all deferred modules (hierarchy pass). + - Detect and error out on combinational loops in write_aiger. + + * Verific support + - Added option "-no-split-complex-ports" to "verific -import". Yosys 0.36 .. Yosys 0.37 -------------------------- diff --git a/Makefile b/Makefile index 11032350a..37f62e500 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.37+119 +YOSYS_VER := 0.38 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo @@ -157,7 +157,7 @@ endif OBJS = kernel/version_$(GIT_REV).o bumpversion: - sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline a5c7f69.. | wc -l`/;" Makefile +# sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline a5c7f69.. | wc -l`/;" Makefile # set 'ABCREV = default' to use abc/ as it is # From 46838172c2e503e36b474af997d335c21e104f80 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Fri, 9 Feb 2024 08:19:14 +0100 Subject: [PATCH 089/159] Next dev cycle --- CHANGELOG | 3 +++ Makefile | 4 ++-- 2 files changed, 5 insertions(+), 2 deletions(-) diff --git a/CHANGELOG b/CHANGELOG index bf7d35272..ed91d5e7c 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -2,6 +2,9 @@ List of major changes and improvements between releases ======================================================= +Yosys 0.38 .. Yosys 0.39-dev +-------------------------- + Yosys 0.37 .. Yosys 0.38 -------------------------- * New commands and options diff --git a/Makefile b/Makefile index 37f62e500..09933113c 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38 +YOSYS_VER := 0.38+0 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo @@ -157,7 +157,7 @@ endif OBJS = kernel/version_$(GIT_REV).o bumpversion: -# sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline a5c7f69.. | wc -l`/;" Makefile + sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 543faed.. | wc -l`/;" Makefile # set 'ABCREV = default' to use abc/ as it is # From 8566489d8510af1e3086af9b692490f35db377a8 Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Fri, 9 Feb 2024 23:51:00 +0000 Subject: [PATCH 090/159] stat: Add sequential area output to stat -liberty Checks to see if a cell is of type ff in the liberty, and keeps track of an additional area value. ``` Chip area for module '\addr': 92.280720 Sequential area for module '\addr': 38.814720 ``` Signed-off-by: Ethan Mahintorabi --- passes/cmds/stat.cc | 34 ++++++++++++++++++++++++---------- 1 file changed, 24 insertions(+), 10 deletions(-) diff --git a/passes/cmds/stat.cc b/passes/cmds/stat.cc index d34373c1c..9849d2537 100644 --- a/passes/cmds/stat.cc +++ b/passes/cmds/stat.cc @@ -28,6 +28,11 @@ USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN +struct cell_data_t { + double area; + bool is_flip_flop; +}; + struct statdata_t { #define STAT_INT_MEMBERS X(num_wires) X(num_wire_bits) X(num_pub_wires) X(num_pub_wire_bits) \ @@ -39,6 +44,7 @@ struct statdata_t STAT_INT_MEMBERS #undef X double area; + double sequential_area; string tech; std::map techinfo; @@ -74,7 +80,7 @@ struct statdata_t #undef X } - statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_area, string techname) + statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_properties, string techname) { tech = techname; @@ -131,11 +137,17 @@ struct statdata_t cell_type = stringf("%s_%d", cell_type.c_str(), GetSize(cell->getPort(ID::Q))); } - if (!cell_area.empty()) { - if (cell_area.count(cell_type)) - area += cell_area.at(cell_type); - else + if (!cell_properties.empty()) { + if (cell_properties.count(cell_type)) { + cell_data_t cell_data = cell_properties.at(cell_type); + if (cell_data.is_flip_flop) { + sequential_area += cell_data.area; + } + area += cell_data.area; + } + else { unknown_cell_area.insert(cell_type); + } } num_cells++; @@ -244,6 +256,7 @@ struct statdata_t if (area != 0) { log("\n"); log(" Chip area for %smodule '%s': %f\n", (top_mod) ? "top " : "", mod_name.c_str(), area); + log(" Sequential area for %smodule '%s': %f\n", (top_mod) ? "top " : "", mod_name.c_str(), sequential_area); } if (tech == "xilinx") @@ -325,7 +338,7 @@ statdata_t hierarchy_worker(std::map &mod_stat, RTL return mod_data; } -void read_liberty_cellarea(dict &cell_area, string liberty_file) +void read_liberty_cellarea(dict &cell_properties, string liberty_file) { std::ifstream f; f.open(liberty_file.c_str()); @@ -341,8 +354,9 @@ void read_liberty_cellarea(dict &cell_area, string liberty_fil continue; LibertyAst *ar = cell->find("area"); + bool is_flip_flop = cell->find("ff") != nullptr; if (ar != nullptr && !ar->value.empty()) - cell_area["\\" + cell->args[0]] = atof(ar->value.c_str()); + cell_properties["\\" + cell->args[0]] = {/*area=*/atof(ar->value.c_str()), is_flip_flop}; } } @@ -383,7 +397,7 @@ struct StatPass : public Pass { bool width_mode = false, json_mode = false; RTLIL::Module *top_mod = nullptr; std::map mod_stat; - dict cell_area; + dict cell_properties; string techname; size_t argidx; @@ -396,7 +410,7 @@ struct StatPass : public Pass { if (args[argidx] == "-liberty" && argidx+1 < args.size()) { string liberty_file = args[++argidx]; rewrite_filename(liberty_file); - read_liberty_cellarea(cell_area, liberty_file); + read_liberty_cellarea(cell_properties, liberty_file); continue; } if (args[argidx] == "-tech" && argidx+1 < args.size()) { @@ -439,7 +453,7 @@ struct StatPass : public Pass { if (mod->get_bool_attribute(ID::top)) top_mod = mod; - statdata_t data(design, mod, width_mode, cell_area, techname); + statdata_t data(design, mod, width_mode, cell_properties, techname); mod_stat[mod->name] = data; if (json_mode) { From 31dbd915ca27d095b59a33e55cb4e5c771945ba4 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sat, 10 Feb 2024 00:15:13 +0000 Subject: [PATCH 091/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 09933113c..d67b6ca4a 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+0 +YOSYS_VER := 0.38+1 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 2b89a5cced5cfff2a8b0d33ba6b6981d8c5d74a7 Mon Sep 17 00:00:00 2001 From: passingglance <75091815+passingglance@users.noreply.github.com> Date: Sat, 10 Feb 2024 10:52:20 -0800 Subject: [PATCH 092/159] Update CHAPTER_Basics.rst Fix typo in Fig. 2.2 caption. --- docs/source/CHAPTER_Basics.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/source/CHAPTER_Basics.rst b/docs/source/CHAPTER_Basics.rst index 618bec545..31230101a 100644 --- a/docs/source/CHAPTER_Basics.rst +++ b/docs/source/CHAPTER_Basics.rst @@ -503,7 +503,7 @@ This process is illustrated in :numref:`Fig. %s `. :name: fig:Basics_flow Typical design flow. Green boxes represent manually created models. - Orange boxes represent modesl generated by synthesis tools. + Orange boxes represent models generated by synthesis tools. In this example the System Level Model and the Behavioural Model are both From b1f83087722259e03a3a61d5f32965680607e93d Mon Sep 17 00:00:00 2001 From: Thomas Watson Date: Sat, 10 Feb 2024 15:07:55 -0600 Subject: [PATCH 093/159] tests/various/clk2fflogic_effects.sh: fix tail invocation Previous syntax is a GNU extension and not accepted by macOS. Use documented -n option instead, compatible with POSIX-compliant tail implementations. --- tests/various/clk2fflogic_effects.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/tests/various/clk2fflogic_effects.sh b/tests/various/clk2fflogic_effects.sh index b51424d1b..bb33e2926 100755 --- a/tests/various/clk2fflogic_effects.sh +++ b/tests/various/clk2fflogic_effects.sh @@ -20,8 +20,8 @@ iverilog -g2012 -o clk2fflogic_effects.iv.out clk2fflogic_effects.sv ./clk2fflogic_effects.iv.out > clk2fflogic_effects.iv.log sort clk2fflogic_effects.iv.log > clk2fflogic_effects.iv.sorted.log -tail +3 clk2fflogic_effects.sim.log | sort > clk2fflogic_effects.sim.sorted.log -tail +3 clk2fflogic_effects.clk2fflogic.log | sort > clk2fflogic_effects.clk2fflogic.sorted.log +tail -n +3 clk2fflogic_effects.sim.log | sort > clk2fflogic_effects.sim.sorted.log +tail -n +3 clk2fflogic_effects.clk2fflogic.log | sort > clk2fflogic_effects.clk2fflogic.sorted.log cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.sim.sorted.log cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.clk2fflogic.sorted.log From 10e06f9b662a7669662293934b5bb37d437eb4dc Mon Sep 17 00:00:00 2001 From: Thomas Watson Date: Sat, 10 Feb 2024 15:09:54 -0600 Subject: [PATCH 094/159] tests/various/clk2fflogic_effects.sh: remove /tmp use Might not be accessible. --- tests/various/clk2fflogic_effects.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/tests/various/clk2fflogic_effects.sh b/tests/various/clk2fflogic_effects.sh index bb33e2926..f44afc1dd 100755 --- a/tests/various/clk2fflogic_effects.sh +++ b/tests/various/clk2fflogic_effects.sh @@ -4,7 +4,7 @@ set -ex ../../yosys -p " read_verilog -formal -DFAST clk2fflogic_effects.sv hierarchy -top top; proc;; -tee -o clk2fflogic_effects.sim.log sim -fst /tmp/sim.fst -q -n 16 +tee -o clk2fflogic_effects.sim.log sim -fst clk2fflogic_effects.sim.fst -q -n 16 " ../../yosys -p " @@ -12,7 +12,7 @@ read_verilog -formal -DFAST clk2fflogic_effects.sv hierarchy -top top; proc;; clk2fflogic;; -tee -o clk2fflogic_effects.clk2fflogic.log sim -fst /tmp/sim.fst -q -n 16 +tee -o clk2fflogic_effects.clk2fflogic.log sim -fst clk2fflogic_effects.clk2fflogic.fst -q -n 16 " iverilog -g2012 -o clk2fflogic_effects.iv.out clk2fflogic_effects.sv From 0b835f28ca45fe4847dc1b6bd0fde5e95ab74277 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sun, 11 Feb 2024 00:17:25 +0000 Subject: [PATCH 095/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index d67b6ca4a..3f813ec2b 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+1 +YOSYS_VER := 0.38+4 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 39fea32c6e05188959c871934f47076a11c4ed55 Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Thu, 25 Jan 2024 07:28:15 +0100 Subject: [PATCH 096/159] Add support for packed multidimensional arrays * Generalization of dimensions metadata (also simplifies $size et al.) * Parsing and elaboration of multidimensional packed ranges --- frontends/ast/ast.cc | 28 +- frontends/ast/ast.h | 22 +- frontends/ast/genrtlil.cc | 4 +- frontends/ast/simplify.cc | 421 +++++++++++++---------------- frontends/verilog/verilog_parser.y | 23 +- tests/sat/sizebits.sv | 4 +- tests/svtypes/multirange_array.sv | 12 + 7 files changed, 247 insertions(+), 267 deletions(-) diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc index fe075b270..04360de72 100644 --- a/frontends/ast/ast.cc +++ b/frontends/ast/ast.cc @@ -224,6 +224,7 @@ AstNode::AstNode(AstNodeType type, AstNode *child1, AstNode *child2, AstNode *ch port_id = 0; range_left = -1; range_right = 0; + unpacked_dimensions = 0; integer = 0; realvalue = 0; id2ast = NULL; @@ -349,17 +350,15 @@ void AstNode::dumpAst(FILE *f, std::string indent) const fprintf(f, " int=%u", (int)integer); if (realvalue != 0) fprintf(f, " real=%e", realvalue); - if (!multirange_dimensions.empty()) { - fprintf(f, " multirange=["); - for (int v : multirange_dimensions) - fprintf(f, " %d", v); - fprintf(f, " ]"); - } - if (!multirange_swapped.empty()) { - fprintf(f, " multirange_swapped=["); - for (bool v : multirange_swapped) - fprintf(f, " %d", v); - fprintf(f, " ]"); + if (!dimensions.empty()) { + fprintf(f, " dimensions="); + for (auto &dim : dimensions) { + int left = dim.range_right + dim.range_width - 1; + int right = dim.range_right; + if (dim.range_swapped) + std::swap(left, right); + fprintf(f, "[%d:%d]", left, right); + } } if (is_enum) { fprintf(f, " type=enum"); @@ -505,6 +504,11 @@ void AstNode::dumpVlog(FILE *f, std::string indent) const } break; + case AST_MULTIRANGE: + for (auto child : children) + child->dumpVlog(f, ""); + break; + case AST_ALWAYS: fprintf(f, "%s" "always @", indent.c_str()); for (auto child : children) { @@ -542,7 +546,7 @@ void AstNode::dumpVlog(FILE *f, std::string indent) const case AST_IDENTIFIER: { - AST::AstNode *member_node = AST::get_struct_member(this); + AstNode *member_node = get_struct_member(); if (member_node) fprintf(f, "%s[%d:%d]", id2vl(str).c_str(), member_node->range_left, member_node->range_right); else diff --git a/frontends/ast/ast.h b/frontends/ast/ast.h index c44746131..f05b568be 100644 --- a/frontends/ast/ast.h +++ b/frontends/ast/ast.h @@ -202,9 +202,17 @@ namespace AST // set for IDs typed to an enumeration, not used bool is_enum; - // if this is a multirange memory then this vector contains offset and length of each dimension - std::vector multirange_dimensions; - std::vector multirange_swapped; // true if range is swapped + // Declared range for array dimension. + struct dimension_t { + int range_right; // lsb in [msb:lsb] + int range_width; // msb - lsb + 1 + bool range_swapped; // if the declared msb < lsb, msb and lsb above are swapped + }; + // Packed and unpacked dimensions for arrays. + // Unpacked dimensions go first, to follow the order of indexing. + std::vector dimensions; + // Number of unpacked dimensions. + int unpacked_dimensions; // this is set by simplify and used during RTLIL generation AstNode *id2ast; @@ -371,6 +379,10 @@ namespace AST // localized fixups after modifying children/attributes of a particular node void fixup_hierarchy_flags(bool force_descend = false); + // helpers for indexing + AstNode *make_index_range(AstNode *node, bool unpacked_range = false); + AstNode *get_struct_member() const; + // helper to print errors from simplify/genrtlil code [[noreturn]] void input_error(const char *format, ...) const YS_ATTRIBUTE(format(printf, 2, 3)); }; @@ -416,10 +428,6 @@ namespace AST // Helper for setting the src attribute. void set_src_attr(RTLIL::AttrObject *obj, const AstNode *ast); - // struct helper exposed from simplify for genrtlil - AstNode *make_struct_member_range(AstNode *node, AstNode *member_node); - AstNode *get_struct_member(const AstNode *node); - // generate standard $paramod... derived module name; parameters should be // in the order they are declared in the instantiated module std::string derived_module_name(std::string stripped_name, const std::vector> ¶meters); diff --git a/frontends/ast/genrtlil.cc b/frontends/ast/genrtlil.cc index 03697ebf3..fe67f00c6 100644 --- a/frontends/ast/genrtlil.cc +++ b/frontends/ast/genrtlil.cc @@ -1045,7 +1045,7 @@ void AstNode::detectSignWidthWorker(int &width_hint, bool &sign_hint, bool *foun if (children.size() > 1) range = children[1]; } else if (id_ast->type == AST_STRUCT_ITEM || id_ast->type == AST_STRUCT || id_ast->type == AST_UNION) { - AstNode *tmp_range = make_struct_member_range(this, id_ast); + AstNode *tmp_range = make_index_range(id_ast); this_width = tmp_range->range_left - tmp_range->range_right + 1; delete tmp_range; } else @@ -1584,7 +1584,7 @@ RTLIL::SigSpec AstNode::genRTLIL(int width_hint, bool sign_hint) chunk.width = wire->width; chunk.offset = 0; - if ((member_node = get_struct_member(this))) { + if ((member_node = get_struct_member())) { // Clamp wire chunk to range of member within struct/union. chunk.width = member_node->range_left - member_node->range_right + 1; chunk.offset = member_node->range_right; diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 8e0de2994..1b8bd9dc2 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -259,35 +259,24 @@ static int range_width(AstNode *node, AstNode *rnode) { log_assert(rnode->type==AST_RANGE); if (!rnode->range_valid) { - node->input_error("Size must be constant in packed struct/union member %s\n", node->str.c_str()); - + node->input_error("Non-constant range in declaration of %s\n", node->str.c_str()); } // note: range swapping has already been checked for return rnode->range_left - rnode->range_right + 1; } +static int add_dimension(AstNode *node, AstNode *rnode) +{ + int width = range_width(node, rnode); + node->dimensions.push_back({ rnode->range_right, width, rnode->range_swapped }); + return width; +} + [[noreturn]] static void struct_array_packing_error(AstNode *node) { node->input_error("Unpacked array in packed struct/union member %s\n", node->str.c_str()); } -static void save_struct_range_dimensions(AstNode *node, AstNode *rnode) -{ - node->multirange_dimensions.push_back(rnode->range_right); - node->multirange_dimensions.push_back(range_width(node, rnode)); - node->multirange_swapped.push_back(rnode->range_swapped); -} - -static int get_struct_range_offset(AstNode *node, int dimension) -{ - return node->multirange_dimensions[2*dimension]; -} - -static int get_struct_range_width(AstNode *node, int dimension) -{ - return node->multirange_dimensions[2*dimension + 1]; -} - static int size_packed_struct(AstNode *snode, int base_offset) { // Struct members will be laid out in the structure contiguously from left to right. @@ -303,10 +292,6 @@ static int size_packed_struct(AstNode *snode, int base_offset) if (node->type == AST_STRUCT || node->type == AST_UNION) { // embedded struct or union width = size_packed_struct(node, base_offset + offset); - // set range of struct - node->range_right = base_offset + offset; - node->range_left = base_offset + offset + width - 1; - node->range_valid = true; } else { log_assert(node->type == AST_STRUCT_ITEM); @@ -318,18 +303,16 @@ static int size_packed_struct(AstNode *snode, int base_offset) // and integer data types are allowed in packed structs / unions in SystemVerilog. if (node->children[1]->type == AST_RANGE) { // Unpacked array, e.g. bit [63:0] a [0:3] + // Pretend it's declared as a packed array, e.g. bit [0:3][63:0] a auto rnode = node->children[1]; if (rnode->children.size() == 1) { // C-style array size, e.g. bit [63:0] a [4] - node->multirange_dimensions.push_back(0); - node->multirange_dimensions.push_back(rnode->range_left); - node->multirange_swapped.push_back(true); + node->dimensions.push_back({ 0, rnode->range_left, true }); width *= rnode->range_left; } else { - save_struct_range_dimensions(node, rnode); - width *= range_width(node, rnode); + width *= add_dimension(node, rnode); } - save_struct_range_dimensions(node, node->children[0]); + add_dimension(node, node->children[0]); } else { // The Yosys extension for unpacked arrays in packed structs / unions @@ -338,7 +321,7 @@ static int size_packed_struct(AstNode *snode, int base_offset) } } else { // Vector - save_struct_range_dimensions(node, node->children[0]); + add_dimension(node, node->children[0]); } // range nodes are now redundant for (AstNode *child : node->children) @@ -354,8 +337,7 @@ static int size_packed_struct(AstNode *snode, int base_offset) } width = 1; for (auto rnode : node->children[0]->children) { - save_struct_range_dimensions(node, rnode); - width *= range_width(node, rnode); + width *= add_dimension(node, rnode); } // range nodes are now redundant for (AstNode *child : node->children) @@ -365,6 +347,7 @@ static int size_packed_struct(AstNode *snode, int base_offset) else if (node->range_left < 0) { // 1 bit signal: bit, logic or reg width = 1; + node->dimensions.push_back({ 0, width, false }); } else { // already resolved and compacted @@ -395,12 +378,16 @@ static int size_packed_struct(AstNode *snode, int base_offset) offset += width; } } - return (is_union ? packed_width : offset); -} -[[noreturn]] static void struct_op_error(AstNode *node) -{ - node->input_error("Unsupported operation for struct/union member %s\n", node->str.c_str()+1); + int width = is_union ? packed_width : offset; + + snode->range_right = base_offset; + snode->range_left = base_offset + width - 1; + snode->range_valid = true; + if (snode->dimensions.empty()) + snode->dimensions.push_back({ 0, width, false }); + + return width; } static AstNode *node_int(int ival) @@ -413,113 +400,123 @@ static AstNode *multiply_by_const(AstNode *expr_node, int stride) return new AstNode(AST_MUL, expr_node, node_int(stride)); } -static AstNode *normalize_struct_index(AstNode *expr, AstNode *member_node, int dimension) +static AstNode *normalize_index(AstNode *expr, AstNode *decl_node, int dimension) { expr = expr->clone(); - int offset = get_struct_range_offset(member_node, dimension); + int offset = decl_node->dimensions[dimension].range_right; if (offset) { expr = new AstNode(AST_SUB, expr, node_int(offset)); } - if (member_node->multirange_swapped[dimension]) { - // The dimension has swapped range; swap index into the struct accordingly. - int msb = get_struct_range_width(member_node, dimension) - 1; - expr = new AstNode(AST_SUB, node_int(msb), expr); + // Packed dimensions are normally indexed by lsb, while unpacked dimensions are normally indexed by msb. + if ((dimension < decl_node->unpacked_dimensions) ^ decl_node->dimensions[dimension].range_swapped) { + // Swap the index if the dimension is declared the "wrong" way. + int left = decl_node->dimensions[dimension].range_width - 1; + expr = new AstNode(AST_SUB, node_int(left), expr); } return expr; } -static AstNode *struct_index_lsb_offset(AstNode *lsb_offset, AstNode *rnode, AstNode *member_node, int dimension, int &stride) +static AstNode *index_offset(AstNode *offset, AstNode *rnode, AstNode *decl_node, int dimension, int &stride) { - stride /= get_struct_range_width(member_node, dimension); - auto right = normalize_struct_index(rnode->children.back(), member_node, dimension); - auto offset = stride > 1 ? multiply_by_const(right, stride) : right; - return lsb_offset ? new AstNode(AST_ADD, lsb_offset, offset) : offset; + stride /= decl_node->dimensions[dimension].range_width; + auto right = normalize_index(rnode->children.back(), decl_node, dimension); + auto add_offset = stride > 1 ? multiply_by_const(right, stride) : right; + return offset ? new AstNode(AST_ADD, offset, add_offset) : add_offset; } -static AstNode *struct_index_msb_offset(AstNode *lsb_offset, AstNode *rnode, AstNode *member_node, int dimension, int stride) +static AstNode *index_msb_offset(AstNode *lsb_offset, AstNode *rnode, AstNode *decl_node, int dimension, int stride) { log_assert(rnode->children.size() <= 2); // Offset to add to LSB - AstNode *offset; + AstNode *add_offset; if (rnode->children.size() == 1) { // Index, e.g. s.a[i] - offset = node_int(stride - 1); + add_offset = node_int(stride - 1); } else { // rnode->children.size() == 2 // Slice, e.g. s.a[i:j] - auto left = normalize_struct_index(rnode->children[0], member_node, dimension); - auto right = normalize_struct_index(rnode->children[1], member_node, dimension); - offset = new AstNode(AST_SUB, left, right); + auto left = normalize_index(rnode->children[0], decl_node, dimension); + auto right = normalize_index(rnode->children[1], decl_node, dimension); + add_offset = new AstNode(AST_SUB, left, right); if (stride > 1) { // offset = (msb - lsb + 1)*stride - 1 - auto slice_width = new AstNode(AST_ADD, offset, node_int(1)); - offset = new AstNode(AST_SUB, multiply_by_const(slice_width, stride), node_int(1)); + auto slice_width = new AstNode(AST_ADD, add_offset, node_int(1)); + add_offset = new AstNode(AST_SUB, multiply_by_const(slice_width, stride), node_int(1)); } } - return new AstNode(AST_ADD, lsb_offset, offset); + return new AstNode(AST_ADD, lsb_offset, add_offset); } -AstNode *AST::make_struct_member_range(AstNode *node, AstNode *member_node) +AstNode *AstNode::make_index_range(AstNode *decl_node, bool unpacked_range) { // Work out the range in the packed array that corresponds to a struct member // taking into account any range operations applicable to the current node // such as array indexing or slicing - int range_left = member_node->range_left; - int range_right = member_node->range_right; - if (node->children.empty()) { + if (children.empty()) { // no range operations apply, return the whole width - return make_range(range_left - range_right, 0); + return make_range(decl_node->range_left - decl_node->range_right, 0); } - if (node->children.size() != 1) { - struct_op_error(node); - } + log_assert(children.size() == 1); // Range operations - auto rnode = node->children[0]; - AstNode *lsb_offset = NULL; - int stride = range_left - range_right + 1; - size_t i = 0; + AstNode *rnode = children[0]; + AstNode *offset = NULL; + int dim = unpacked_range ? 0 : decl_node->unpacked_dimensions; + int max_dim = unpacked_range ? decl_node->unpacked_dimensions : GetSize(decl_node->dimensions); + + int stride = 1; + for (int i = dim; i < max_dim; i++) { + stride *= decl_node->dimensions[i].range_width; + } // Calculate LSB offset for the final index / slice if (rnode->type == AST_RANGE) { - lsb_offset = struct_index_lsb_offset(lsb_offset, rnode, member_node, i, stride); + offset = index_offset(offset, rnode, decl_node, dim, stride); } else if (rnode->type == AST_MULTIRANGE) { // Add offset for each dimension - auto mrnode = rnode; - for (i = 0; i < mrnode->children.size(); i++) { - rnode = mrnode->children[i]; - lsb_offset = struct_index_lsb_offset(lsb_offset, rnode, member_node, i, stride); + AstNode *mrnode = rnode; + int stop_dim = std::min(GetSize(mrnode->children), max_dim); + for (; dim < stop_dim; dim++) { + rnode = mrnode->children[dim]; + offset = index_offset(offset, rnode, decl_node, dim, stride); } - i--; // Step back to the final index / slice + dim--; // Step back to the final index / slice } else { - struct_op_error(node); + input_error("Unsupported range operation for %s\n", str.c_str()); } - // Calculate MSB offset for the final index / slice - auto msb_offset = struct_index_msb_offset(lsb_offset->clone(), rnode, member_node, i, stride); + AstNode *index_range = new AstNode(AST_RANGE); - return new AstNode(AST_RANGE, msb_offset, lsb_offset); + if (!unpacked_range && (stride > 1 || GetSize(rnode->children) == 2)) { + // Calculate MSB offset for the final index / slice of packed dimensions. + AstNode *msb_offset = index_msb_offset(offset->clone(), rnode, decl_node, dim, stride); + index_range->children.push_back(msb_offset); + } + + index_range->children.push_back(offset); + + return index_range; } -AstNode *AST::get_struct_member(const AstNode *node) +AstNode *AstNode::get_struct_member() const { - AST::AstNode *member_node; - if (node->attributes.count(ID::wiretype) && (member_node = node->attributes.at(ID::wiretype)) && + AstNode *member_node; + if (attributes.count(ID::wiretype) && (member_node = attributes.at(ID::wiretype)) && (member_node->type == AST_STRUCT_ITEM || member_node->type == AST_STRUCT || member_node->type == AST_UNION)) { return member_node; } - return NULL; + return nullptr; } static void add_members_to_scope(AstNode *snode, std::string name) @@ -537,22 +534,10 @@ static void add_members_to_scope(AstNode *snode, std::string name) } } -static int get_max_offset(AstNode *node) -{ - // get the width from the MS member in the struct - // as members are laid out from left to right in the packed wire - log_assert(node->type==AST_STRUCT || node->type==AST_UNION); - while (node->type != AST_STRUCT_ITEM) { - node = node->children[0]; - } - return node->range_left; -} - static AstNode *make_packed_struct(AstNode *template_node, std::string &name, decltype(AstNode::attributes) &attributes) { // create a wire for the packed struct - int offset = get_max_offset(template_node); - auto wnode = new AstNode(AST_WIRE, make_range(offset, 0)); + auto wnode = new AstNode(AST_WIRE, make_range(template_node->range_left, 0)); wnode->str = name; wnode->is_logic = true; wnode->range_valid = true; @@ -560,6 +545,8 @@ static AstNode *make_packed_struct(AstNode *template_node, std::string &name, de for (auto &pair : attributes) { wnode->set_attribute(pair.first, pair.second->clone()); } + // resolve packed dimension + while (wnode->simplify(true, 1, -1, false)) {} // make sure this node is the one in scope for this name current_scope[name] = wnode; // add all the struct members to scope under the wire's name @@ -1986,6 +1973,7 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin range_swapped = template_node->range_swapped; range_left = template_node->range_left; range_right = template_node->range_right; + set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); for (auto template_child : template_node->children) children.push_back(template_child->clone()); @@ -2046,9 +2034,7 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin if (old_range_valid != range_valid) did_something = true; if (range_valid && range_right > range_left) { - int tmp = range_right; - range_right = range_left; - range_left = tmp; + std::swap(range_left, range_right); range_swapped = true; } } @@ -2093,58 +2079,83 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin } } - // resolve multiranges on memory decl - if (type == AST_MEMORY && children.size() > 1 && children[1]->type == AST_MULTIRANGE) - { - int total_size = 1; - multirange_dimensions.clear(); - multirange_swapped.clear(); - for (auto range : children[1]->children) { - if (!range->range_valid) - input_error("Non-constant range on memory decl.\n"); - multirange_dimensions.push_back(min(range->range_left, range->range_right)); - multirange_dimensions.push_back(max(range->range_left, range->range_right) - min(range->range_left, range->range_right) + 1); - multirange_swapped.push_back(range->range_swapped); - total_size *= multirange_dimensions.back(); + // Resolve packed and unpacked ranges in declarations. + if ((type == AST_WIRE || type == AST_MEMORY) && dimensions.empty()) { + if (!children.empty()) { + // Unpacked ranges first, then packed ranges. + for (int i = std::min(GetSize(children), 2) - 1; i >= 0; i--) { + if (children[i]->type == AST_MULTIRANGE) { + int width = 1; + for (auto range : children[i]->children) { + width *= add_dimension(this, range); + if (i) unpacked_dimensions++; + } + delete children[i]; + int left = width - 1, right = 0; + if (i) + std::swap(left, right); + children[i] = new AstNode(AST_RANGE, mkconst_int(left, true), mkconst_int(right, true)); + fixup_hierarchy_flags(); + did_something = true; + } else if (children[i]->type == AST_RANGE) { + add_dimension(this, children[i]); + if (i) unpacked_dimensions++; + } + } + } else { + // 1 bit signal: bit, logic or reg + dimensions.push_back({ 0, 1, false }); } - delete children[1]; - children[1] = new AstNode(AST_RANGE, AstNode::mkconst_int(0, true), AstNode::mkconst_int(total_size-1, true)); - fixup_hierarchy_flags(); - did_something = true; } - // resolve multiranges on memory access - if (type == AST_IDENTIFIER && id2ast && id2ast->type == AST_MEMORY && children.size() > 0 && children[0]->type == AST_MULTIRANGE) + // Resolve multidimensional array access. + if (type == AST_IDENTIFIER && !basic_prep && id2ast && (id2ast->type == AST_WIRE || id2ast->type == AST_MEMORY) && + children.size() > 0 && (children[0]->type == AST_RANGE || children[0]->type == AST_MULTIRANGE)) { - AstNode *index_expr = nullptr; + int dims_sel = children[0]->type == AST_MULTIRANGE ? children[0]->children.size() : 1; + // Save original number of dimensions for $size() etc. + integer = dims_sel; - integer = children[0]->children.size(); // save original number of dimensions for $size() etc. - for (int i = 0; 2*i < GetSize(id2ast->multirange_dimensions); i++) - { - if (GetSize(children[0]->children) <= i) - input_error("Insufficient number of array indices for %s.\n", log_id(str)); + // Split access into unpacked and packed parts. + AstNode *unpacked_range = nullptr; + AstNode *packed_range = nullptr; - AstNode *new_index_expr = children[0]->children[i]->children.at(0)->clone(); - - if (id2ast->multirange_dimensions[2*i]) - new_index_expr = new AstNode(AST_SUB, new_index_expr, AstNode::mkconst_int(id2ast->multirange_dimensions[2*i], true)); - - if (i == 0) - index_expr = new_index_expr; - else - index_expr = new AstNode(AST_ADD, new AstNode(AST_MUL, index_expr, AstNode::mkconst_int(id2ast->multirange_dimensions[2*i+1], true)), new_index_expr); + if (id2ast->unpacked_dimensions) { + if (id2ast->unpacked_dimensions > 1) { + // Flattened range for access to unpacked dimensions. + unpacked_range = make_index_range(id2ast, true); + } else { + // Index into one-dimensional unpacked part; unlink simple range node. + AstNode *&range = children[0]->type == AST_MULTIRANGE ? children[0]->children[0] : children[0]; + unpacked_range = range; + range = nullptr; + } } - for (int i = GetSize(id2ast->multirange_dimensions)/2; i < GetSize(children[0]->children); i++) - children.push_back(children[0]->children[i]->clone()); + if (dims_sel > id2ast->unpacked_dimensions) { + if (GetSize(id2ast->dimensions) - id2ast->unpacked_dimensions > 1) { + // Flattened range for access to packed dimensions. + packed_range = make_index_range(id2ast, false); + } else { + // Index into one-dimensional packed part; unlink simple range node. + AstNode *&range = children[0]->type == AST_MULTIRANGE ? children[0]->children[dims_sel - 1] : children[0]; + packed_range = range; + range = nullptr; + } + } - delete children[0]; - if (index_expr == nullptr) - children.erase(children.begin()); - else - children[0] = new AstNode(AST_RANGE, index_expr); + for (auto &it : children) + delete it; + children.clear(); + + if (unpacked_range) + children.push_back(unpacked_range); + + if (packed_range) + children.push_back(packed_range); fixup_hierarchy_flags(); + basic_prep = true; did_something = true; } @@ -2210,12 +2221,12 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin if (found_sname) { // structure member, rewrite this node to reference the packed struct wire - auto range = make_struct_member_range(this, item_node); + auto range = make_index_range(item_node); newNode = new AstNode(AST_IDENTIFIER, range); newNode->str = sname; // save type and original number of dimensions for $size() etc. newNode->set_attribute(ID::wiretype, item_node->clone()); - if (!item_node->multirange_dimensions.empty() && children.size() > 0) { + if (!item_node->dimensions.empty() && children.size() > 0) { if (children[0]->type == AST_RANGE) newNode->integer = 1; else if (children[0]->type == AST_MULTIRANGE) @@ -2835,7 +2846,7 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin if (!children[0]->id2ast->range_valid) goto skip_dynamic_range_lvalue_expansion; - AST::AstNode *member_node = get_struct_member(children[0]); + AST::AstNode *member_node = children[0]->get_struct_member(); int wire_width = member_node ? member_node->range_left - member_node->range_right + 1 : children[0]->id2ast->range_left - children[0]->id2ast->range_right + 1; @@ -2881,7 +2892,7 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin int dims = children[0]->integer; stride = wire_width; for (int dim = 0; dim < dims; dim++) { - stride /= get_struct_range_width(member_node, dim); + stride /= member_node->dimensions[dim].range_width; } bitno_div = stride; } else { @@ -3042,6 +3053,8 @@ skip_dynamic_range_lvalue_expansion:; // found right-hand side identifier for memory -> replace with memory read port if (stage > 1 && type == AST_IDENTIFIER && id2ast != NULL && id2ast->type == AST_MEMORY && !in_lvalue && children.size() == 1 && children[0]->type == AST_RANGE && children[0]->children.size() == 1) { + if (integer < (unsigned)id2ast->unpacked_dimensions) + input_error("Insufficient number of array indices for %s.\n", log_id(str)); newNode = new AstNode(AST_MEMRD, children[0]->children[0]->clone()); newNode->str = str; newNode->id2ast = id2ast; @@ -3100,6 +3113,9 @@ skip_dynamic_range_lvalue_expansion:; children[0]->id2ast->children[0]->range_valid && children[0]->id2ast->children[1]->range_valid && (children[0]->children.size() == 1 || children[0]->children.size() == 2) && children[0]->children[0]->type == AST_RANGE) { + if (children[0]->integer < (unsigned)children[0]->id2ast->unpacked_dimensions) + input_error("Insufficient number of array indices for %s.\n", log_id(str)); + std::stringstream sstr; sstr << "$memwr$" << children[0]->str << "$" << RTLIL::encode_filename(filename) << ":" << location.first_line << "$" << (autoidx++); std::string id_addr = sstr.str() + "_ADDR", id_data = sstr.str() + "_DATA", id_en = sstr.str() + "_EN"; @@ -3495,8 +3511,6 @@ skip_dynamic_range_lvalue_expansion:; int result, high = 0, low = 0, left = 0, right = 0, width = 1; // defaults for a simple wire AstNode *id_ast = NULL; - // Is this needed? - //while (buf->simplify(true, false, stage, width_hint, sign_hint, false)) { } buf->detectSignWidth(width_hint, sign_hint); if (buf->type == AST_IDENTIFIER) { @@ -3506,99 +3520,27 @@ skip_dynamic_range_lvalue_expansion:; if (!id_ast) input_error("Failed to resolve identifier %s for width detection!\n", buf->str.c_str()); - // Check for item in packed struct / union - AST::AstNode *item_node = get_struct_member(buf); - if (id_ast->type == AST_WIRE && item_node) { + if (id_ast->type == AST_WIRE || id_ast->type == AST_MEMORY) { + // Check for item in packed struct / union + AstNode *item_node = buf->get_struct_member(); + if (item_node) + id_ast = item_node; + // The dimension of the original array expression is saved in the 'integer' field dim += buf->integer; - if (item_node->multirange_dimensions.empty()) { - if (dim != 1) - input_error("Dimension %d out of range in `%s', as it only has one dimension!\n", dim, item_node->str.c_str()); - left = high = item_node->range_left; - right = low = item_node->range_right; - } else { - int dims = GetSize(item_node->multirange_dimensions)/2; - if (dim < 1 || dim > dims) - input_error("Dimension %d out of range in `%s', as it only has dimensions 1..%d!\n", dim, item_node->str.c_str(), dims); - right = low = get_struct_range_offset(item_node, dim - 1); - left = high = low + get_struct_range_width(item_node, dim - 1) - 1; - if (item_node->multirange_swapped[dim - 1]) { - std::swap(left, right); - } - for (int i = dim; i < dims; i++) { - mem_depth *= get_struct_range_width(item_node, i); - } - } - } - // Otherwise, we have 4 cases: - // wire x; ==> AST_WIRE, no AST_RANGE children - // wire [1:0]x; ==> AST_WIRE, AST_RANGE children - // wire [1:0]x[1:0]; ==> AST_MEMORY, two AST_RANGE children (1st for packed, 2nd for unpacked) - // wire [1:0]x[1:0][1:0]; ==> AST_MEMORY, one AST_RANGE child (0) for packed, then AST_MULTIRANGE child (1) for unpacked - // (updated: actually by the time we are here, AST_MULTIRANGE is converted into one big AST_RANGE) - // case 0 handled by default - else if ((id_ast->type == AST_WIRE || id_ast->type == AST_MEMORY) && id_ast->children.size() > 0) { - // handle packed array left/right for case 1, and cases 2/3 when requesting the last dimension (packed side) - AstNode *wire_range = id_ast->children[0]; - left = wire_range->children[0]->integer; - right = wire_range->children[1]->integer; - high = max(left, right); - low = min(left, right); - } - if (id_ast->type == AST_MEMORY) { - // a slice of our identifier means we advance to the next dimension, e.g. $size(a[3]) - if (buf->children.size() > 0) { - // something is hanging below this identifier - if (buf->children[0]->type == AST_RANGE && buf->integer == 0) - // if integer == 0, this node was originally created as AST_RANGE so it's dimension is 1 - dim++; - // more than one range, e.g. $size(a[3][2]) - else // created an AST_MULTIRANGE, converted to AST_RANGE, but original dimension saved in 'integer' field - dim += buf->integer; // increment by multirange size - } - // We got here only if the argument is a memory - // Otherwise $size() and $bits() return the expression width - AstNode *mem_range = id_ast->children[1]; - if (str == "\\$bits") { - if (mem_range->type == AST_RANGE) { - if (!mem_range->range_valid) - input_error("Failed to detect width of memory access `%s'!\n", buf->str.c_str()); - mem_depth = mem_range->range_left - mem_range->range_right + 1; - } else - input_error("Unknown memory depth AST type in `%s'!\n", buf->str.c_str()); - } else { - // $size(), $left(), $right(), $high(), $low() - int dims = 1; - if (mem_range->type == AST_RANGE) { - if (id_ast->multirange_dimensions.empty()) { - if (!mem_range->range_valid) - input_error("Failed to detect width of memory access `%s'!\n", buf->str.c_str()); - if (dim == 1) { - left = mem_range->range_right; - right = mem_range->range_left; - high = max(left, right); - low = min(left, right); - } - } else { - dims = GetSize(id_ast->multirange_dimensions)/2; - if (dim <= dims) { - width_hint = id_ast->multirange_dimensions[2*dim-1]; - high = id_ast->multirange_dimensions[2*dim-2] + id_ast->multirange_dimensions[2*dim-1] - 1; - low = id_ast->multirange_dimensions[2*dim-2]; - if (id_ast->multirange_swapped[dim-1]) { - left = low; - right = high; - } else { - right = low; - left = high; - } - } else if ((dim > dims+1) || (dim < 0)) - input_error("Dimension %d out of range in `%s', as it only has dimensions 1..%d!\n", dim, buf->str.c_str(), dims+1); - } - } else { - input_error("Unknown memory depth AST type in `%s'!\n", buf->str.c_str()); - } + int dims = GetSize(id_ast->dimensions); + // TODO: IEEE Std 1800-2017 20.7: "If the first argument to an array query function would cause $dimensions to return 0 + // or if the second argument is out of range, then 'x shall be returned." + if (dim < 1 || dim > dims) + input_error("Dimension %d out of range in `%s', as it only has %d dimensions!\n", dim, id_ast->str.c_str(), dims); + right = low = id_ast->dimensions[dim - 1].range_right; + left = high = low + id_ast->dimensions[dim - 1].range_width - 1; + if (id_ast->dimensions[dim - 1].range_swapped) { + std::swap(left, right); + } + for (int i = dim; i < dims; i++) { + mem_depth *= id_ast->dimensions[i].range_width; } } width = high - low + 1; @@ -4180,7 +4122,7 @@ replace_fcall_later:; tmp_range_left = (param_width + 2*param_offset) - children[0]->range_right - 1; tmp_range_right = (param_width + 2*param_offset) - children[0]->range_left - 1; } - AST::AstNode *member_node = get_struct_member(this); + AstNode *member_node = get_struct_member(); int chunk_offset = member_node ? member_node->range_right : 0; log_assert(!(chunk_offset && param_upto)); for (int i = tmp_range_right; i <= tmp_range_left; i++) { @@ -4820,6 +4762,9 @@ void AstNode::mem2reg_as_needed_pass1(dict> &mem2reg { AstNode *mem = id2ast; + if (integer < (unsigned)mem->unpacked_dimensions) + input_error("Insufficient number of array indices for %s.\n", log_id(str)); + // flag if used after blocking assignment (in same proc) if ((proc_flags[mem] & AstNode::MEM2REG_FL_EQ1) && !(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_EQ2)) { mem2reg_places[mem].insert(stringf("%s:%d", RTLIL::encode_filename(filename).c_str(), location.first_line)); @@ -5103,7 +5048,7 @@ bool AstNode::mem2reg_as_needed_pass2(pool &mem2reg_set, AstNode *mod, int width; if (bit_part_sel) { - bit_part_sel->dumpAst(nullptr, "? "); + // bit_part_sel->dumpAst(nullptr, "? "); if (bit_part_sel->children.size() == 1) width = 0; else diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 039e83491..244e24f31 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -197,9 +197,20 @@ static AstNode *checkRange(AstNode *type_node, AstNode *range_node) range_node = makeRange(type_node->range_left, type_node->range_right, false); } } - if (range_node && range_node->children.size() != 2) { - frontend_verilog_yyerror("wire/reg/logic packed dimension must be of the form: [:], [+:], or [-:]"); + + if (range_node) { + bool valid = true; + if (range_node->type == AST_RANGE) { + valid = range_node->children.size() == 2; + } else { // AST_MULTIRANGE + for (auto child : range_node->children) { + valid = valid && child->children.size() == 2; + } + } + if (!valid) + frontend_verilog_yyerror("wire/reg/logic packed dimension must be of the form [:]"); } + return range_node; } @@ -672,7 +683,7 @@ module_arg: ast_stack.back()->children.push_back(astbuf2); delete astbuf1; // really only needed if multiple instances of same type. } module_arg_opt_assignment | - attr wire_type range TOK_ID { + attr wire_type range_or_multirange TOK_ID { AstNode *node = $2; node->str = *$4; SET_AST_NODE_LOC(node, @4, @4); @@ -1165,7 +1176,7 @@ task_func_args: task_func_port | task_func_args ',' task_func_port; task_func_port: - attr wire_type range { + attr wire_type range_or_multirange { bool prev_was_input = true; bool prev_was_output = false; if (albuf) { @@ -1928,7 +1939,7 @@ struct_var: TOK_ID { auto *var_node = astbuf2->clone(); ///////// wire_decl: - attr wire_type range { + attr wire_type range_or_multirange { albuf = $1; astbuf1 = $2; astbuf2 = checkRange(astbuf1, $3); @@ -2104,7 +2115,7 @@ type_name: TOK_ID // first time seen ; typedef_decl: - TOK_TYPEDEF typedef_base_type range type_name range_or_multirange ';' { + TOK_TYPEDEF typedef_base_type range_or_multirange type_name range_or_multirange ';' { astbuf1 = $2; astbuf2 = checkRange(astbuf1, $3); if (astbuf2) diff --git a/tests/sat/sizebits.sv b/tests/sat/sizebits.sv index 87fa08f89..de2e7ecc1 100644 --- a/tests/sat/sizebits.sv +++ b/tests/sat/sizebits.sv @@ -16,17 +16,17 @@ assert property ($size({3{x}}) == 3*4); assert property ($size(y) == 6); assert property ($size(y, 1) == 6); assert property ($size(y, (1+1)) == 4); +assert property ($size(y[2], 1) == 4); // This is unsupported at the moment -//assert property ($size(y[2], 1) == 4); //assert property ($size(y[2][1], 1) == 1); assert property ($size(z) == 6); assert property ($size(z, 1) == 6); assert property ($size(z, 2) == 8); assert property ($size(z, 3) == 4); -// This is unsupported at the moment assert property ($size(z[3], 1) == 8); assert property ($size(z[3][3], 1) == 4); +// This is unsupported at the moment //assert property ($size(z[3][3][3], 1) == 1); // This should trigger an error if enabled (it does). //assert property ($size(z, 4) == 4); diff --git a/tests/svtypes/multirange_array.sv b/tests/svtypes/multirange_array.sv index be0d3dfc2..29e4559ab 100644 --- a/tests/svtypes/multirange_array.sv +++ b/tests/svtypes/multirange_array.sv @@ -8,9 +8,21 @@ module top; logic a [3]; logic b [3][5]; logic c [3][5][7]; + logic [2:0] d; + logic [2:0][4:0] e; + logic [2:0][4:0][6:0] f; + logic [2:0] g [3]; + logic [2:0][4:0] h [3][5]; + logic [2:0][4:0][6:0] i [3][5][7]; `STATIC_ASSERT($bits(a) == 3); `STATIC_ASSERT($bits(b) == 15); `STATIC_ASSERT($bits(c) == 105); + `STATIC_ASSERT($bits(d) == 3); + `STATIC_ASSERT($bits(e) == 15); + `STATIC_ASSERT($bits(f) == 105); + `STATIC_ASSERT($bits(g) == 9); + `STATIC_ASSERT($bits(h) == 225); + `STATIC_ASSERT($bits(i) == 11025); endmodule From a32d9b6c4587ebaac8080281afbb17b3dc4ae27a Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Thu, 25 Jan 2024 07:29:59 +0100 Subject: [PATCH 097/159] Fix test of memory vs. memory converted to registers The purpose of memtest02 in tests/simple/memory.v is to test bit select on both memory (mem1) and memory converted to registers (mem2). After 7cfae2c52, mem1 was automatically converted to registers, and the test no longer worked as intended. This is fixed by adding (* nomem2reg *) to mem1. --- tests/simple/memory.v | 1 + 1 file changed, 1 insertion(+) diff --git a/tests/simple/memory.v b/tests/simple/memory.v index b478d9409..a6a280992 100644 --- a/tests/simple/memory.v +++ b/tests/simple/memory.v @@ -44,6 +44,7 @@ input [2:0] bit; output reg y1, y2; output y3, y4; +(* nomem2reg *) reg [7:0] mem1 [3:0]; (* mem2reg *) From 88d9e213cb6c55f89563d2675371771dba3564a8 Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Wed, 27 Dec 2023 22:35:18 +0100 Subject: [PATCH 098/159] Decoding of a few more AST nodes in dumpVlog --- frontends/ast/ast.cc | 20 ++++++++++++++++++++ 1 file changed, 20 insertions(+) diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc index 04360de72..ead79fd95 100644 --- a/frontends/ast/ast.cc +++ b/frontends/ast/ast.cc @@ -488,6 +488,20 @@ void AstNode::dumpVlog(FILE *f, std::string indent) const fprintf(f, ";\n"); break; + if (0) { case AST_MEMRD: txt = "@memrd@"; } + if (0) { case AST_MEMINIT: txt = "@meminit@"; } + if (0) { case AST_MEMWR: txt = "@memwr@"; } + fprintf(f, "%s%s", indent.c_str(), txt.c_str()); + for (auto child : children) { + fprintf(f, first ? "(" : ", "); + child->dumpVlog(f, ""); + first = false; + } + fprintf(f, ")"); + if (type != AST_MEMRD) + fprintf(f, ";\n"); + break; + case AST_RANGE: if (range_valid) { if (range_swapped) @@ -556,6 +570,12 @@ void AstNode::dumpVlog(FILE *f, std::string indent) const child->dumpVlog(f, ""); break; + case AST_STRUCT: + case AST_UNION: + case AST_STRUCT_ITEM: + fprintf(f, "%s", id2vl(str).c_str()); + break; + case AST_CONSTANT: if (!str.empty()) fprintf(f, "\"%s\"", str.c_str()); From 2125357e76f1f1255ddbaa30a9d8154c194466b3 Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Wed, 27 Dec 2023 22:00:13 +0100 Subject: [PATCH 099/159] Add support for $increment --- frontends/ast/simplify.cc | 4 +++- tests/sat/sizebits.sv | 13 +++++++++++++ tests/svtypes/struct_sizebits.sv | 13 +++++++++++++ 3 files changed, 29 insertions(+), 1 deletion(-) diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 1b8bd9dc2..7486f676e 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -3487,7 +3487,7 @@ skip_dynamic_range_lvalue_expansion:; goto apply_newNode; } - if (str == "\\$size" || str == "\\$bits" || str == "\\$high" || str == "\\$low" || str == "\\$left" || str == "\\$right") + if (str == "\\$increment" || str == "\\$size" || str == "\\$bits" || str == "\\$high" || str == "\\$low" || str == "\\$left" || str == "\\$right") { int dim = 1; if (str == "\\$bits") { @@ -3556,6 +3556,8 @@ skip_dynamic_range_lvalue_expansion:; result = left; else if (str == "\\$right") result = right; + else if (str == "\\$increment") + result = left >= right ? 1 : -1; else if (str == "\\$size") result = width; else { // str == "\\$bits" diff --git a/tests/sat/sizebits.sv b/tests/sat/sizebits.sv index de2e7ecc1..a31cae78c 100644 --- a/tests/sat/sizebits.sv +++ b/tests/sat/sizebits.sv @@ -90,4 +90,17 @@ assert property ($right(z, 3) == 0); assert property ($right(z[3]) == 9); assert property ($right(z[3][3]) == 0); assert property ($right(z[3], 2) == 0); + +assert property ($increment(x) == 1); +assert property ($increment(y) == -1); +assert property ($increment(y, 1) == -1); +assert property ($increment(y, (1+1)) == 1); + +assert property ($increment(z) == 1); +assert property ($increment(z, 1) == 1); +assert property ($increment(z, 2) == -1); +assert property ($increment(z, 3) == 1); +assert property ($increment(z[3]) == -1); +assert property ($increment(z[3][3]) == 1); +assert property ($increment(z[3], 2) == 1); endmodule diff --git a/tests/svtypes/struct_sizebits.sv b/tests/svtypes/struct_sizebits.sv index 092d9ecef..7f33fe72c 100644 --- a/tests/svtypes/struct_sizebits.sv +++ b/tests/svtypes/struct_sizebits.sv @@ -107,6 +107,19 @@ always_comb begin assert ($right(s.sz.z[3]) == 9); assert ($right(s.sz.z[3][3]) == 4); assert ($right(s.sz.z[3], 2) == 4); + + assert ($increment(s.x) == 1); + assert ($increment(s.sy.y) == -1); + assert ($increment(s.sy.y, 1) == -1); + assert ($increment(s.sy.y, (1+1)) == 1); + + assert ($increment(s.sz.z) == 1); + assert ($increment(s.sz.z, 1) == 1); + assert ($increment(s.sz.z, 2) == -1); + assert ($increment(s.sz.z, 3) == -1); + assert ($increment(s.sz.z[3]) == -1); + assert ($increment(s.sz.z[3][3]) == -1); + assert ($increment(s.sz.z[3], 2) == -1); end endmodule From e0d3977e19342118a6e71f52c6731adad7e64cb9 Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Thu, 28 Dec 2023 00:23:59 +0100 Subject: [PATCH 100/159] Add support for $dimensions and $unpacked_dimensions --- frontends/ast/simplify.cc | 19 ++++++++++++++++--- tests/sat/sizebits.sv | 18 ++++++++++++++++++ tests/svtypes/struct_sizebits.sv | 12 ++++++++++++ 3 files changed, 46 insertions(+), 3 deletions(-) diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 7486f676e..04d9af4d1 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -3487,10 +3487,11 @@ skip_dynamic_range_lvalue_expansion:; goto apply_newNode; } - if (str == "\\$increment" || str == "\\$size" || str == "\\$bits" || str == "\\$high" || str == "\\$low" || str == "\\$left" || str == "\\$right") + if (str == "\\$dimensions" || str == "\\$unpacked_dimensions" || + str == "\\$increment" || str == "\\$size" || str == "\\$bits" || str == "\\$high" || str == "\\$low" || str == "\\$left" || str == "\\$right") { int dim = 1; - if (str == "\\$bits") { + if (str == "\\$dimensions" || str == "\\$unpacked_dimensions" || str == "\\$bits") { if (children.size() != 1) input_error("System function %s got %d arguments, expected 1.\n", RTLIL::unescape_id(str).c_str(), int(children.size())); @@ -3509,6 +3510,7 @@ skip_dynamic_range_lvalue_expansion:; AstNode *buf = children[0]->clone(); int mem_depth = 1; int result, high = 0, low = 0, left = 0, right = 0, width = 1; // defaults for a simple wire + int expr_dimensions = 0, expr_unpacked_dimensions = 0; AstNode *id_ast = NULL; buf->detectSignWidth(width_hint, sign_hint); @@ -3534,6 +3536,10 @@ skip_dynamic_range_lvalue_expansion:; // or if the second argument is out of range, then 'x shall be returned." if (dim < 1 || dim > dims) input_error("Dimension %d out of range in `%s', as it only has %d dimensions!\n", dim, id_ast->str.c_str(), dims); + + expr_dimensions = dims - dim + 1; + expr_unpacked_dimensions = std::max(id_ast->unpacked_dimensions - dim + 1, 0); + right = low = id_ast->dimensions[dim - 1].range_right; left = high = low + id_ast->dimensions[dim - 1].range_width - 1; if (id_ast->dimensions[dim - 1].range_swapped) { @@ -3546,9 +3552,16 @@ skip_dynamic_range_lvalue_expansion:; width = high - low + 1; } else { width = width_hint; + right = low = 0; + left = high = width - 1; + expr_dimensions = 1; } delete buf; - if (str == "\\$high") + if (str == "\\$dimensions") + result = expr_dimensions; + else if (str == "\\$unpacked_dimensions") + result = expr_unpacked_dimensions; + else if (str == "\\$high") result = high; else if (str == "\\$low") result = low; diff --git a/tests/sat/sizebits.sv b/tests/sat/sizebits.sv index a31cae78c..a28f7ebb2 100644 --- a/tests/sat/sizebits.sv +++ b/tests/sat/sizebits.sv @@ -10,6 +10,24 @@ wire [3:0]z[7:2][2:9]; //wire [$size(y)-1:0]y_size; //wire [$size(z)-1:0]z_size; +assert property ($dimensions(t) == 1); +assert property ($dimensions(x) == 1); +assert property ($dimensions({3{x}}) == 1); +assert property ($dimensions(y) == 2); +assert property ($dimensions(y[2]) == 1); +assert property ($dimensions(z) == 3); +assert property ($dimensions(z[3]) == 2); +assert property ($dimensions(z[3][3]) == 1); + +assert property ($unpacked_dimensions(t) == 0); +assert property ($unpacked_dimensions(x) == 0); +assert property ($unpacked_dimensions({3{x}}) == 0); +assert property ($unpacked_dimensions(y) == 1); +assert property ($unpacked_dimensions(y[2]) == 0); +assert property ($unpacked_dimensions(z) == 2); +assert property ($unpacked_dimensions(z[3]) == 1); +assert property ($unpacked_dimensions(z[3][3]) == 0); + assert property ($size(t) == 1); assert property ($size(x) == 4); assert property ($size({3{x}}) == 3*4); diff --git a/tests/svtypes/struct_sizebits.sv b/tests/svtypes/struct_sizebits.sv index 7f33fe72c..0a94d57a5 100644 --- a/tests/svtypes/struct_sizebits.sv +++ b/tests/svtypes/struct_sizebits.sv @@ -25,6 +25,18 @@ struct packed { //wire [$bits({s.x, s.x})-1:0]xx_bits; always_comb begin + assert ($dimensions(s) == 1); + assert ($dimensions(s.t) == 1); + assert ($dimensions(s.x) == 1); +`ifndef VERIFIC + assert ($dimensions({3{s.x}}) == 1); +`endif + assert ($dimensions(s.sy.y) == 2); + assert ($dimensions(s.sy.y[2]) == 1); + assert ($dimensions(s.sz.z) == 3); + assert ($dimensions(s.sz.z[3]) == 2); + assert ($dimensions(s.sz.z[3][3]) == 1); + assert ($size(s) == $size(s.t) + $size(s.x) + $size(s.sy) + $size(s.sz)); assert ($size(s) == 1 + 4 + 6*4 + 6*8*4); From 03f35c3def316963195a02fc97120b14906bd218 Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Mon, 1 Jan 2024 01:18:00 +0100 Subject: [PATCH 101/159] Resolve multiple dimensions defined in stages with typedef --- frontends/ast/simplify.cc | 142 ++++++++++++++--------------- frontends/verilog/verilog_parser.y | 2 +- 2 files changed, 70 insertions(+), 74 deletions(-) diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 04d9af4d1..d0e94a25b 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -554,6 +554,22 @@ static AstNode *make_packed_struct(AstNode *template_node, std::string &name, de return wnode; } +static void prepend_ranges(AstNode *&range, AstNode *range_add) +{ + // Convert range to multirange. + if (range->type == AST_RANGE) + range = new AstNode(AST_MULTIRANGE, range); + + // Add range or ranges. + if (range_add->type == AST_RANGE) + range->children.insert(range->children.begin(), range_add->clone()); + else { + int i = 0; + for (auto child : range_add->children) + range->children.insert(range->children.begin() + i++, child->clone()); + } +} + // check if a node or its children contains an assignment to the given variable static bool node_contains_assignment_to(const AstNode* node, const AstNode* var) { @@ -1879,8 +1895,10 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin log_assert(resolved_type_node->children.size() == 1); AstNode *template_node = resolved_type_node->children[0]; - // Ensure typedef itself is fully simplified - while (template_node->simplify(const_fold, stage, width_hint, sign_hint)) {}; + // Resolve the typedef from the bottom up, recursing within the current + // block of code. Defer further simplification until the complete type is + // resolved. + while (template_node->is_custom_type && template_node->simplify(const_fold, stage, width_hint, sign_hint)) {}; if (!str.empty() && str[0] == '\\' && (template_node->type == AST_STRUCT || template_node->type == AST_UNION)) { // replace instance with wire representing the packed structure @@ -1893,90 +1911,68 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin goto apply_newNode; } - // Remove type reference - delete children[0]; - children.erase(children.begin()); - - if (type == AST_WIRE) - type = template_node->type; - is_reg = template_node->is_reg; - is_logic = template_node->is_logic; - is_signed = template_node->is_signed; - is_string = template_node->is_string; - is_custom_type = template_node->is_custom_type; - - range_valid = template_node->range_valid; - range_swapped = template_node->range_swapped; - range_left = template_node->range_left; - range_right = template_node->range_right; - - set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); + // Prepare replacement node. + newNode = template_node->clone(); + newNode->str = str; + newNode->set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); + newNode->is_input = is_input; + newNode->is_output = is_output; + newNode->is_wand = is_wand; + newNode->is_wor = is_wor; + for (auto &pair : attributes) + newNode->set_attribute(pair.first, pair.second->clone()); // if an enum then add attributes to support simulator tracing - annotateTypedEnums(template_node); + newNode->annotateTypedEnums(template_node); - // Insert clones children from template at beginning - for (int i = 0; i < GetSize(template_node->children); i++) - children.insert(children.begin() + i, template_node->children[i]->clone()); + bool add_packed_dimensions = (type == AST_WIRE && GetSize(children) > 1) || (type == AST_MEMORY && GetSize(children) > 2); - if (type == AST_MEMORY && GetSize(children) == 1) { - // Single-bit memories must have [0:0] range - AstNode *rng = make_range(0, 0); - children.insert(children.begin(), rng); + // Cannot add packed dimensions if unpacked dimensions are already specified. + if (add_packed_dimensions && newNode->type == AST_MEMORY) + input_error("Cannot extend unpacked type `%s' with packed dimensions\n", type_name.c_str()); + + // Add packed dimensions. + if (add_packed_dimensions) { + AstNode *packed = children[1]; + if (newNode->children.empty()) + newNode->children.insert(newNode->children.begin(), packed->clone()); + else + prepend_ranges(newNode->children[0], packed); } - fixup_hierarchy_flags(); - did_something = true; + + // Add unpacked dimensions. + if (type == AST_MEMORY) { + AstNode *unpacked = children.back(); + if (GetSize(newNode->children) < 2) + newNode->children.push_back(unpacked->clone()); + else + prepend_ranges(newNode->children[1], unpacked); + newNode->type = type; + } + + // Prepare to generate dimensions metadata for the resolved type. + newNode->dimensions.clear(); + newNode->unpacked_dimensions = 0; + + goto apply_newNode; } - log_assert(!is_custom_type); } // resolve types of parameters if (type == AST_LOCALPARAM || type == AST_PARAMETER) { if (is_custom_type) { - log_assert(children.size() == 2); + log_assert(children.size() >= 2); log_assert(children[1]->type == AST_WIRETYPE); - auto type_name = children[1]->str; - if (!current_scope.count(type_name)) { - input_error("Unknown identifier `%s' used as type name\n", type_name.c_str()); - } - AstNode *resolved_type_node = current_scope.at(type_name); - if (resolved_type_node->type != AST_TYPEDEF) - input_error("`%s' does not name a type\n", type_name.c_str()); - log_assert(resolved_type_node->children.size() == 1); - AstNode *template_node = resolved_type_node->children[0]; - - // Ensure typedef itself is fully simplified - while (template_node->simplify(const_fold, stage, width_hint, sign_hint)) {}; - - if (template_node->type == AST_STRUCT || template_node->type == AST_UNION) { - // replace with wire representing the packed structure - newNode = make_packed_struct(template_node, str, attributes); - newNode->set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); - newNode->type = type; - current_scope[str] = this; - // copy param value, it needs to be 1st value - delete children[1]; - children.pop_back(); - newNode->children.insert(newNode->children.begin(), children[0]->clone()); - goto apply_newNode; - } - delete children[1]; - children.pop_back(); - - if (template_node->type == AST_MEMORY) + // Pretend it's a wire in order to resolve the type in the code block above. + AstNodeType param_type = type; + type = AST_WIRE; + AstNode *expr = children[0]; + children.erase(children.begin()); + while (simplify(const_fold, stage, width_hint, sign_hint)) {}; + type = param_type; + children.insert(children.begin(), expr); + if (children[1]->type == AST_MEMORY) input_error("unpacked array type `%s' cannot be used for a parameter\n", children[1]->str.c_str()); - is_signed = template_node->is_signed; - is_string = template_node->is_string; - is_custom_type = template_node->is_custom_type; - - range_valid = template_node->range_valid; - range_swapped = template_node->range_swapped; - range_left = template_node->range_left; - range_right = template_node->range_right; - - set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); - for (auto template_child : template_node->children) - children.push_back(template_child->clone()); fixup_hierarchy_flags(); did_something = true; } diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 244e24f31..c4b569c5a 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -2122,7 +2122,7 @@ typedef_decl: astbuf1->children.push_back(astbuf2); if ($5 != NULL) { - if (!astbuf2) { + if (!astbuf2 && !astbuf1->is_custom_type) { addRange(astbuf1, 0, 0, false); } rewriteAsMemoryNode(astbuf1, $5); From a4ae773150f08b899ea40364d939812411ba99bd Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Mon, 1 Jan 2024 22:24:41 +0100 Subject: [PATCH 102/159] Added test for multidimensional packed arrays --- tests/simple/arrays03.sv | 49 ++++++++++++++++++++++++++++++++++++++++ 1 file changed, 49 insertions(+) create mode 100644 tests/simple/arrays03.sv diff --git a/tests/simple/arrays03.sv b/tests/simple/arrays03.sv new file mode 100644 index 000000000..f7718c994 --- /dev/null +++ b/tests/simple/arrays03.sv @@ -0,0 +1,49 @@ +// Test multidimensional packed arrays + +typedef logic [0:3][7:0] reg2dim_t; +typedef logic [7:0] reg8_t; +typedef reg8_t [0:3] reg2dim1_t; + +module pcktest1 ( + input logic clk, + input logic [0:3][7:0] in, + input logic [1:0] ix, + output reg8_t out +); + always_ff @(posedge clk) begin + out <= in[ix]; + end +endmodule + +module pcktest2 ( + input logic clk, + input reg8_t [0:3] in, + input logic [1:0] ix, + output reg8_t out +); + always_ff @(posedge clk) begin + out <= in[ix]; + end +endmodule + +module pcktest3 ( + input logic clk, + input reg2dim_t in, + input logic [1:0] ix, + output reg8_t out +); + always_ff @(posedge clk) begin + out <= in[ix]; + end +endmodule + +module pcktest4 ( + input logic clk, + input reg2dim1_t in, + input logic [1:0] ix, + output reg8_t out +); + always_ff @(posedge clk) begin + out <= in[ix]; + end +endmodule From fab326d3e8abfc6784a025e5154cf60a2b09af7b Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Thu, 4 Jan 2024 20:18:08 +0100 Subject: [PATCH 103/159] Add multidimensional arrays to SystemVerilog features in README --- README.md | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 69a227b7f..3be1b4c2e 100644 --- a/README.md +++ b/README.md @@ -587,7 +587,13 @@ from SystemVerilog: - enums are supported (including inside packages) - but are currently not strongly typed -- packed structs and unions are supported. +- packed structs and unions are supported + - arrays of packed structs/unions are currently not supported + - structure literals are currently not supported + +- multidimensional arrays are supported + - array assignment of unpacked arrays is currently not supported + - array literals are currently not supported - SystemVerilog interfaces (SVIs) are supported. Modports for specifying whether ports are inputs or outputs are supported. From f09ea16bd18e8ca34170becd4b9f91c495e8753a Mon Sep 17 00:00:00 2001 From: Dag Lem Date: Fri, 5 Jan 2024 19:29:06 +0100 Subject: [PATCH 104/159] Resolve struct member multiple dimensions defined in stages with typedef --- frontends/ast/simplify.cc | 60 +++++------------------------- frontends/verilog/verilog_parser.y | 12 +++--- tests/svtypes/struct_array.sv | 45 ++++++++++++++++++++++ 3 files changed, 62 insertions(+), 55 deletions(-) diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index d0e94a25b..96296aeb8 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -384,8 +384,7 @@ static int size_packed_struct(AstNode *snode, int base_offset) snode->range_right = base_offset; snode->range_left = base_offset + width - 1; snode->range_valid = true; - if (snode->dimensions.empty()) - snode->dimensions.push_back({ 0, width, false }); + snode->dimensions.push_back({ 0, width, false }); return width; } @@ -1439,57 +1438,16 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin case AST_STRUCT_ITEM: if (is_custom_type) { - log_assert(children.size() == 1); + log_assert(children.size() >= 1); log_assert(children[0]->type == AST_WIRETYPE); - auto type_name = children[0]->str; - if (!current_scope.count(type_name)) { - log_file_error(filename, location.first_line, "Unknown identifier `%s' used as type name\n", type_name.c_str()); - } - AstNode *resolved_type_node = current_scope.at(type_name); - if (resolved_type_node->type != AST_TYPEDEF) - log_file_error(filename, location.first_line, "`%s' does not name a type\n", type_name.c_str()); - log_assert(resolved_type_node->children.size() == 1); - AstNode *template_node = resolved_type_node->children[0]; - // Ensure typedef itself is fully simplified - while (template_node->simplify(const_fold, stage, width_hint, sign_hint)) {}; - - // Remove type reference - delete children[0]; - children.pop_back(); - - switch (template_node->type) { - case AST_WIRE: + // Pretend it's just a wire in order to resolve the type. + type = AST_WIRE; + while (is_custom_type && simplify(const_fold, stage, width_hint, sign_hint)) {}; + if (type == AST_WIRE) type = AST_STRUCT_ITEM; - break; - case AST_STRUCT: - case AST_UNION: - type = template_node->type; - break; - default: - log_file_error(filename, location.first_line, "Invalid type for struct member: %s", type2str(template_node->type).c_str()); - } - - is_reg = template_node->is_reg; - is_logic = template_node->is_logic; - is_signed = template_node->is_signed; - is_string = template_node->is_string; - is_custom_type = template_node->is_custom_type; - - range_valid = template_node->range_valid; - range_swapped = template_node->range_swapped; - range_left = template_node->range_left; - range_right = template_node->range_right; - - set_attribute(ID::wiretype, mkconst_str(resolved_type_node->str)); - - // Copy clones of children from template - for (auto template_child : template_node->children) { - children.push_back(template_child->clone()); - } did_something = true; - } log_assert(!is_custom_type); break; @@ -1963,14 +1921,16 @@ bool AstNode::simplify(bool const_fold, int stage, int width_hint, bool sign_hin if (is_custom_type) { log_assert(children.size() >= 2); log_assert(children[1]->type == AST_WIRETYPE); - // Pretend it's a wire in order to resolve the type in the code block above. + + // Pretend it's just a wire in order to resolve the type in the code block above. AstNodeType param_type = type; type = AST_WIRE; AstNode *expr = children[0]; children.erase(children.begin()); - while (simplify(const_fold, stage, width_hint, sign_hint)) {}; + while (is_custom_type && simplify(const_fold, stage, width_hint, sign_hint)) {}; type = param_type; children.insert(children.begin(), expr); + if (children[1]->type == AST_MEMORY) input_error("unpacked array type `%s' cannot be used for a parameter\n", children[1]->str.c_str()); fixup_hierarchy_flags(); diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index c4b569c5a..15a04eb28 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -1900,10 +1900,11 @@ struct_member_type: { astbuf1 = new AstNode(AST_STRUCT_ITEM); } member_type_toke ; member_type_token: - member_type - | hierarchical_type_id { - addWiretypeNode($1, astbuf1); - } + member_type range_or_multirange { + AstNode *range = checkRange(astbuf1, $2); + if (range) + astbuf1->children.push_back(range); + } | { delete astbuf1; } struct_union { @@ -1919,7 +1920,8 @@ member_type_token: ; member_type: type_atom type_signing - | type_vec type_signing range_or_multirange { if ($3) astbuf1->children.push_back($3); } + | type_vec type_signing + | hierarchical_type_id { addWiretypeNode($1, astbuf1); } ; struct_var_list: struct_var diff --git a/tests/svtypes/struct_array.sv b/tests/svtypes/struct_array.sv index bedc05b6f..e07c9b232 100644 --- a/tests/svtypes/struct_array.sv +++ b/tests/svtypes/struct_array.sv @@ -23,6 +23,29 @@ module top; always_comb assert(s.b[23:16]===8'hxx); always_comb assert(s.b[19:12]===8'hxf); + // Same as s, but defining dimensions in stages with typedef + typedef bit [7:0] bit8_t; + struct packed { + bit8_t [5:0] a; // 6 element packed array of bytes + bit [15:0] b; // filler for non-zero offset + } s_s; + + initial begin + s_s = '0; + + s_s.a[2:1] = 16'h1234; + s_s.a[5] = 8'h42; + s_s.a[-1] = '0; + + s_s.b = '1; + s_s.b[1:0] = '0; + end + + always_comb assert(s_s==64'h4200_0012_3400_FFFC); + always_comb assert(s_s.a[0][3:-4]===8'h0x); + always_comb assert(s_s.b[23:16]===8'hxx); + always_comb assert(s_s.b[19:12]===8'hxf); + struct packed { bit [7:0] [7:0] a; // 8 element packed array of bytes bit [15:0] b; // filler for non-zero offset @@ -125,6 +148,28 @@ module top; always_comb assert(s3_lbl==80'hFC00_4200_0012_3400_FFFC); + // Same as s3_lbl, but defining dimensions in stages with typedef + typedef bit [0:3] bit3l_t; + struct packed { + bit3l_t [0:7] [1:0] a; + bit [0:15] b; // filler for non-zero offset + } s3_lbl_s; + + initial begin + s3_lbl_s = '0; + + s3_lbl_s.a[5:6] = 16'h1234; + s3_lbl_s.a[2] = 8'h42; + + s3_lbl_s.a[0] = '1; + s3_lbl_s.a[0][0][2:3] = '0; + + s3_lbl_s.b = '1; + s3_lbl_s.b[14:15] = '0; + end + + always_comb assert(s3_lbl_s==80'hFC00_4200_0012_3400_FFFC); + struct packed { bit [0:7] [0:1] [3:0] a; bit [0:15] b; // filler for non-zero offset From cd8e6cbc64621557e3d53485bd8ef8d76ca9abb5 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Mon, 12 Feb 2024 00:16:18 +0000 Subject: [PATCH 105/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 3f813ec2b..470bdf279 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+4 +YOSYS_VER := 0.38+17 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 5226d0772125f136a30290e4e3a66c3064d840d7 Mon Sep 17 00:00:00 2001 From: passingglance <75091815+passingglance@users.noreply.github.com> Date: Sun, 11 Feb 2024 23:59:07 -0800 Subject: [PATCH 106/159] Update CHAPTER_CellLib.rst Fix parameter name to \WIDTH for $tribuf cell. --- docs/source/CHAPTER_CellLib.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/source/CHAPTER_CellLib.rst b/docs/source/CHAPTER_CellLib.rst index 3b6f1b4d3..70a0e2150 100644 --- a/docs/source/CHAPTER_CellLib.rst +++ b/docs/source/CHAPTER_CellLib.rst @@ -195,7 +195,7 @@ from ``\S`` is set the output is undefined. Cells of this type are used to model by an optimization). The ``$tribuf`` cell is used to implement tristate logic. Cells of this type -have a ``\B`` parameter and inputs ``\A`` and ``\EN`` and an output ``\Y``. The +have a ``\WIDTH`` parameter and inputs ``\A`` and ``\EN`` and an output ``\Y``. The ``\A`` input and ``\Y`` output are ``\WIDTH`` bits wide, and the ``\EN`` input is one bit wide. When ``\EN`` is 0, the output is not driven. When ``\EN`` is 1, the value from ``\A`` input is sent to the ``\Y`` output. Therefore, the From 10297127be683ac1eefbb6025feac6d9c1faf08d Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Mon, 12 Feb 2024 09:19:58 +0100 Subject: [PATCH 107/159] fix test for verific --- tests/svtypes/struct_sizebits.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/svtypes/struct_sizebits.sv b/tests/svtypes/struct_sizebits.sv index 0a94d57a5..aceec84b3 100644 --- a/tests/svtypes/struct_sizebits.sv +++ b/tests/svtypes/struct_sizebits.sv @@ -26,9 +26,9 @@ struct packed { always_comb begin assert ($dimensions(s) == 1); - assert ($dimensions(s.t) == 1); assert ($dimensions(s.x) == 1); `ifndef VERIFIC + assert ($dimensions(s.t) == 1); assert ($dimensions({3{s.x}}) == 1); `endif assert ($dimensions(s.sy.y) == 2); From ae7daf99f464a4a274519880307b8a188e7a2722 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Mon, 12 Feb 2024 09:53:47 +0100 Subject: [PATCH 108/159] Verific: Add attributes to module instantiation --- frontends/verific/verific.cc | 1 + 1 file changed, 1 insertion(+) diff --git a/frontends/verific/verific.cc b/frontends/verific/verific.cc index dff9c777b..faa0e1bcd 100644 --- a/frontends/verific/verific.cc +++ b/frontends/verific/verific.cc @@ -1990,6 +1990,7 @@ void VerificImporter::import_netlist(RTLIL::Design *design, Netlist *nl, std::ma } RTLIL::Cell *cell = module->addCell(inst_name, inst_type); + import_attributes(cell->attributes, inst); if (inst->IsPrimitive() && mode_keep) cell->attributes[ID::keep] = 1; From 353ccc9e58d12b2a9dcf9213bfa02116a754c230 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Mon, 12 Feb 2024 12:58:13 +0100 Subject: [PATCH 109/159] do not override existing shell variable --- tests/gen-tests-makefile.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/tests/gen-tests-makefile.sh b/tests/gen-tests-makefile.sh index 6bf91b4dc..2b26d8c98 100755 --- a/tests/gen-tests-makefile.sh +++ b/tests/gen-tests-makefile.sh @@ -16,8 +16,8 @@ generate_target() { # $ generate_ys_test ys_file [yosys_args] generate_ys_test() { ys_file=$1 - yosys_args=${2:-} - generate_target "$ys_file" "\"$YOSYS_BASEDIR/yosys\" -ql ${ys_file%.*}.log $yosys_args $ys_file" + yosys_args_=${2:-} + generate_target "$ys_file" "\"$YOSYS_BASEDIR/yosys\" -ql ${ys_file%.*}.log $yosys_args_ $ys_file" } # $ generate_bash_test bash_file From 54a97f8bb7b2977a71892651dfa844675c21d3f5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Mon, 12 Feb 2024 14:56:10 +0100 Subject: [PATCH 110/159] driver: Fix crashes on missing cli arguments --- kernel/driver.cc | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/kernel/driver.cc b/kernel/driver.cc index 8d9ecc91a..58da1bc32 100644 --- a/kernel/driver.cc +++ b/kernel/driver.cc @@ -92,8 +92,15 @@ int getopt(int argc, char **argv, const char *optstring) return optopt; } - optarg = argv[++optind]; + if (++optind >= argc) { + fprintf(stderr, "%s: option '-%c' expects an argument\n", argv[0], optopt); + optopt = '?'; + return optopt; + } + + optarg = argv[optind]; optind++, optcur = 1; + return optopt; } From 606bbef30c391b280e35a8c8354b4d440e62ec64 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 13 Feb 2024 00:16:18 +0000 Subject: [PATCH 111/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 72fb38a9b..748f155af 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+17 +YOSYS_VER := 0.38+37 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From ae1a67ba47ce5d9d531a1fd89c4e687ed558994e Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 12:15:59 +0000 Subject: [PATCH 112/159] cxxrtl: fix debug information for zero-width items. Because all objects in C++ must have non-zero size, a `value<0>` has a size of 4 despite consisting of a `uint32_t chunks[0]`. The debug item assertions were not written expecting that and prevent any debug items for such values from compiling. The C API does not define exactly what happens for a zero-width debug item, but it seems OK to say that they should refer to some unique pointer that cannot be, in actuality, read or written. This allows some techniques or optimizations that use `curr` pointers as keys and assume they correspond 1-to-1 to simulation objects. --- .../cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h | 5 +++++ backends/cxxrtl/runtime/cxxrtl/cxxrtl.h | 20 ++++++++++--------- 2 files changed, 16 insertions(+), 9 deletions(-) diff --git a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h index e5c84bf65..c2a9d37e1 100644 --- a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h +++ b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h @@ -240,6 +240,11 @@ struct cxxrtl_object { // through wires, the bits are double buffered. To avoid race conditions, user code should // always read from `curr` and write to `next`. The `curr` pointer is always valid; for objects // that cannot be modified, or cannot be modified in a race-free way, `next` is NULL. + // + // In case where `width == 0`, `curr` is a non-NULL pointer unique for the wire. That is, + // there is a 1-to-1 correspondence between simulation objects and `curr` pointers, regardless + // of whether they have storage or not. (Aliases' `curr` pointer equals that of some other + // simulated object.) uint32_t *curr; uint32_t *next; diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index ee55011e8..8b7cf4da8 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -1176,7 +1176,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(value &item, size_t lsb_offset = 0, uint32_t flags_ = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = VALUE; flags = flags_; @@ -1192,7 +1192,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = VALUE; flags = DRIVEN_COMB; @@ -1208,8 +1208,9 @@ struct debug_item : ::cxxrtl_object { template debug_item(wire &item, size_t lsb_offset = 0, uint32_t flags_ = 0) { - static_assert(sizeof(item.curr) == value::chunks * sizeof(chunk_t) && - sizeof(item.next) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || + (sizeof(item.curr) == value::chunks * sizeof(chunk_t) && + sizeof(item.next) == value::chunks * sizeof(chunk_t)), "wire is not compatible with C layout"); type = WIRE; flags = flags_; @@ -1225,7 +1226,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(memory &item, size_t zero_offset = 0) { - static_assert(sizeof(item.data[0]) == value::chunks * sizeof(chunk_t), + static_assert(Width == 0 || sizeof(item.data[0]) == value::chunks * sizeof(chunk_t), "memory is not compatible with C layout"); type = MEMORY; flags = 0; @@ -1241,7 +1242,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(debug_alias, const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = ALIAS; flags = DRIVEN_COMB; @@ -1257,8 +1258,9 @@ struct debug_item : ::cxxrtl_object { template debug_item(debug_alias, const wire &item, size_t lsb_offset = 0) { - static_assert(sizeof(item.curr) == value::chunks * sizeof(chunk_t) && - sizeof(item.next) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || + (sizeof(item.curr) == value::chunks * sizeof(chunk_t) && + sizeof(item.next) == value::chunks * sizeof(chunk_t)), "wire is not compatible with C layout"); type = ALIAS; flags = DRIVEN_COMB; @@ -1274,7 +1276,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(debug_outline &group, const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = OUTLINE; flags = DRIVEN_COMB; From c3c44225de0be8940373c2dba361442348ca96f5 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 12:38:03 +0000 Subject: [PATCH 113/159] cxxrtl: document some `module` invariants. NFC --- backends/cxxrtl/runtime/cxxrtl/cxxrtl.h | 16 ++++++++++++++-- 1 file changed, 14 insertions(+), 2 deletions(-) diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index 8b7cf4da8..fd8dd578e 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -1363,10 +1363,16 @@ struct debug_items { } }; -// Tag class to disambiguate the default constructor used by the toplevel module that calls reset(), +// Tag class to disambiguate the default constructor used by the toplevel module that calls `reset()`, // and the constructor of interior modules that should not call it. struct interior {}; +// The core API of the `module` class consists of only four virtual methods: `reset()`, `eval()`, +// `commit`, and `debug_info()`. (The virtual destructor is made necessary by C++.) Every other method +// is a convenience method, and exists solely to simplify some common pattern for C++ API consumers. +// No behavior may be added to such convenience methods that other parts of CXXRTL can rely on, since +// there is no guarantee they will be called (and, for example, other CXXRTL libraries will often call +// the `eval()` and `commit()` directly instead, as well as being exposed in the C API). struct module { module() {} virtual ~module() {} @@ -1382,8 +1388,14 @@ struct module { virtual void reset() = 0; + // The `eval()` callback object, `performer`, is included in the virtual call signature since + // the generated code has broadly identical performance properties. virtual bool eval(performer *performer = nullptr) = 0; - virtual bool commit() = 0; // commit observer isn't available since it avoids virtual calls + + // The `commit()` callback object, `observer`, is not included in the virtual call signature since + // the generated code is severely pessimized by it. To observe commit events, the non-virtual + // `commit(observer *)` overload must be called directly on a `module` subclass. + virtual bool commit() = 0; size_t step(performer *performer = nullptr) { size_t deltas = 0; From 42920c9bc0e6e8d7f4bbe07b0c8a0b6c665130a0 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 12:47:58 +0000 Subject: [PATCH 114/159] cxxrtl: rationalize `debug_items` accessors. Before this commit, `at()` and `operator[]` did the same thing, making one of them redundant. There was also a somewhat awkward `parts_at()`, which is more generic than `at()`. After this commit, `parts_at()` becomes `at()`, and `at()` becomes `operator[]`. Any quick-and-dirty accesses should use `items["name"]`, which expects a single-part item. Generic code should instead use `items.at("name")`, which will return multiple parts. Both will check for the existence of the name. This is unlikely to break downstream code since it's likely been using the shorter `operator[]`. (In any case this API is not stable.) --- backends/cxxrtl/runtime/cxxrtl/cxxrtl.h | 17 +++++++++++------ backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h | 2 +- 2 files changed, 12 insertions(+), 7 deletions(-) diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index fd8dd578e..f9d22ff9b 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -1320,6 +1320,14 @@ namespace cxxrtl { using debug_attrs = ::_cxxrtl_attr_set; struct debug_items { + // Debug items may be composed of multiple parts, but the attributes are shared between all of them. + // There are additional invariants, not all of which are not checked by this code: + // - Memories and non-memories cannot be mixed together. + // - Bit indices (considering `lsb_at` and `width`) must not overlap. + // - Row indices (considering `depth` and `zero_at`) must be the same. + // - The `INPUT` and `OUTPUT` flags must be the same for all parts. + // Other than that, the parts can be quite different, e.g. it is OK to mix a value, a wire, an alias, + // and an outline, in the debug information for a single name in four parts. std::map> table; std::map> attrs_table; @@ -1344,20 +1352,17 @@ struct debug_items { return table.at(name).size(); } - const std::vector &parts_at(const std::string &name) const { + const std::vector &at(const std::string &name) const { return table.at(name); } - const debug_item &at(const std::string &name) const { + // Like `at()`, but operates only on single-part debug items. + const debug_item &operator [](const std::string &name) const { const std::vector &parts = table.at(name); assert(parts.size() == 1); return parts.at(0); } - const debug_item &operator [](const std::string &name) const { - return at(name); - } - const metadata_map &attrs(const std::string &name) const { return attrs_table.at(name)->map; } diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h index d824fdb83..b1cd9d9a1 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h @@ -641,7 +641,7 @@ public: assert(items.count(name) != 0); assert(part_index < items.count(name)); - const debug_item &part = items.parts_at(name).at(part_index); + const debug_item &part = items.at(name).at(part_index); assert(chunks == (part.width + sizeof(chunk_t) * 8 - 1) / (sizeof(chunk_t) * 8)); assert(depth == part.depth); From d8ce26a5bad847521f09c7d31325c9d63f3e4a69 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 18:20:26 +0000 Subject: [PATCH 115/159] read_verilog: correctly format `hdlname` attribute value. The leading slash is not a part of the attribute as it only concerns public values. --- frontends/ast/ast.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc index ead79fd95..996f6715d 100644 --- a/frontends/ast/ast.cc +++ b/frontends/ast/ast.cc @@ -1820,7 +1820,7 @@ std::string AstModule::derive_common(RTLIL::Design *design, const dictclone(); if (!new_ast->attributes.count(ID::hdlname)) - new_ast->set_attribute(ID::hdlname, AstNode::mkconst_str(stripped_name)); + new_ast->set_attribute(ID::hdlname, AstNode::mkconst_str(stripped_name.substr(1))); para_counter = 0; for (auto child : new_ast->children) { From b16f4900fd97308134d27b32adaf09ae6204f801 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Tue, 13 Feb 2024 21:38:41 +0100 Subject: [PATCH 116/159] ast/simplify: Interpret hdlname w/o expecting backslash --- frontends/ast/simplify.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 96296aeb8..43a4e03a2 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -5662,7 +5662,7 @@ std::string AstNode::try_pop_module_prefix() const if (current_scope.count(new_str)) { std::string prefix = str.substr(0, pos); auto it = current_scope_ast->attributes.find(ID::hdlname); - if ((it != current_scope_ast->attributes.end() && it->second->str == prefix) + if ((it != current_scope_ast->attributes.end() && it->second->str == prefix.substr(1)) || prefix == current_scope_ast->str) return new_str; } From 91685355a082f1b5fbc539d0ec484f4d484f5baa Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 14 Feb 2024 00:15:26 +0000 Subject: [PATCH 117/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 748f155af..f9dd25361 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+37 +YOSYS_VER := 0.38+41 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 834276a2f72566cfdeb420f04fd260d87e5c07ec Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Wed, 14 Feb 2024 09:50:53 +0100 Subject: [PATCH 118/159] show: Add option to add cell/wire "src" attribute into graphviz attribute href --- passes/cmds/show.cc | 36 +++++++++++++++++++++++++++--------- 1 file changed, 27 insertions(+), 9 deletions(-) diff --git a/passes/cmds/show.cc b/passes/cmds/show.cc index 8c2695dbe..82b5c6bcf 100644 --- a/passes/cmds/show.cc +++ b/passes/cmds/show.cc @@ -65,6 +65,7 @@ struct ShowWorker bool enumerateIds; bool abbreviateIds; bool notitle; + bool href; int page_counter; const std::vector> &color_selections; @@ -432,9 +433,13 @@ struct ShowWorker if (wire->port_input || wire->port_output) shape = "octagon"; if (wire->name.isPublic()) { - fprintf(f, "n%d [ shape=%s, label=\"%s\", %s ];\n", + std::string src_href; + if (href && wire->attributes.count(ID::src) > 0) + src_href = stringf(", href=\"%s\" ", escape(wire->attributes.at(ID::src).decode_string())); + fprintf(f, "n%d [ shape=%s, label=\"%s\", %s%s];\n", id2num(wire->name), shape, findLabel(wire->name.str()), - nextColor(RTLIL::SigSpec(wire), "color=\"black\", fontcolor=\"black\"").c_str()); + nextColor(RTLIL::SigSpec(wire), "color=\"black\", fontcolor=\"black\"").c_str(), + src_href.c_str()); if (wire->port_input) all_sources.insert(stringf("n%d", id2num(wire->name))); else if (wire->port_output) @@ -496,14 +501,18 @@ struct ShowWorker conn.second, ct.cell_output(cell->type, conn.first)); } + std::string src_href; + if (href && cell->attributes.count(ID::src) > 0) { + src_href = stringf("%shref=\"%s\" ", (findColor(cell->name).empty() ? "" :" , "), escape(cell->attributes.at(ID::src).decode_string())); + } #ifdef CLUSTER_CELLS_AND_PORTBOXES if (!code.empty()) - fprintf(f, "subgraph cluster_c%d {\nc%d [ shape=record, label=\"%s\"%s ];\n%s}\n", - id2num(cell->name), id2num(cell->name), label_string.c_str(), color.c_str(), code.c_str()); + fprintf(f, "subgraph cluster_c%d {\nc%d [ shape=record, label=\"%s\"%s%s ];\n%s}\n", + id2num(cell->name), id2num(cell->name), label_string.c_str(), color.c_str(), src_href.c_str(), code.c_str()); else #endif - fprintf(f, "c%d [ shape=record, label=\"%s\", %s ];\n%s", - id2num(cell->name), label_string.c_str(), findColor(cell->name).c_str(), code.c_str()); + fprintf(f, "c%d [ shape=record, label=\"%s\", %s%s ];\n%s", + id2num(cell->name), label_string.c_str(), findColor(cell->name).c_str(), src_href.c_str(), code.c_str()); } for (auto &it : module->processes) @@ -608,12 +617,12 @@ struct ShowWorker } ShowWorker(FILE *f, RTLIL::Design *design, std::vector &libs, uint32_t colorSeed, bool genWidthLabels, - bool genSignedLabels, bool stretchIO, bool enumerateIds, bool abbreviateIds, bool notitle, + bool genSignedLabels, bool stretchIO, bool enumerateIds, bool abbreviateIds, bool notitle, bool href, const std::vector> &color_selections, const std::vector> &label_selections, RTLIL::IdString colorattr) : f(f), design(design), currentColor(colorSeed), genWidthLabels(genWidthLabels), genSignedLabels(genSignedLabels), stretchIO(stretchIO), enumerateIds(enumerateIds), abbreviateIds(abbreviateIds), - notitle(notitle), color_selections(color_selections), label_selections(label_selections), colorattr(colorattr) + notitle(notitle), href(href), color_selections(color_selections), label_selections(label_selections), colorattr(colorattr) { ct.setup_internals(); ct.setup_internals_mem(); @@ -726,6 +735,10 @@ struct ShowPass : public Pass { log(" don't run viewer in the background, IE wait for the viewer tool to\n"); log(" exit before returning\n"); log("\n"); + log(" -href\n"); + log(" adds href attribute to all items representing cells and wires, using\n"); + log(" src attribute of origin\n"); + log("\n"); log("When no is specified, 'dot' is used. When no and is\n"); log("specified, 'xdot' is used to display the schematic (POSIX systems only).\n"); log("\n"); @@ -763,6 +776,7 @@ struct ShowPass : public Pass { bool flag_enum = false; bool flag_abbreviate = true; bool flag_notitle = false; + bool flag_href = false; bool custom_prefix = false; std::string background = "&"; RTLIL::IdString colorattr; @@ -850,6 +864,10 @@ struct ShowPass : public Pass { background= ""; continue; } + if (arg == "-href") { + flag_href = true; + continue; + } break; } extra_args(args, argidx, design); @@ -894,7 +912,7 @@ struct ShowPass : public Pass { delete lib; log_cmd_error("Can't open dot file `%s' for writing.\n", dot_file.c_str()); } - ShowWorker worker(f, design, libs, colorSeed, flag_width, flag_signed, flag_stretch, flag_enum, flag_abbreviate, flag_notitle, color_selections, label_selections, colorattr); + ShowWorker worker(f, design, libs, colorSeed, flag_width, flag_signed, flag_stretch, flag_enum, flag_abbreviate, flag_notitle, flag_href, color_selections, label_selections, colorattr); fclose(f); for (auto lib : libs) From bbdfcfdf30bc3d82f53415868d6e45e291e37266 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Wed, 14 Feb 2024 11:42:27 +0100 Subject: [PATCH 119/159] clk2fflogic: Fix handling of $check cells Fixes a bug in the handling of the recently introduced $check cells. Both $check and $print cells in clk2fflogic are handled by the same code and the existing tests for that were only using $print cells. This missed a bug where the additional A signal of $check cells that is not present on $print cells was dropped due to a typo, rendering $check cells non-functional. Also updates the tests to explicitly cover both cell types such that they would have detected the now fixed bug. --- passes/sat/clk2fflogic.cc | 3 ++- tests/various/clk2fflogic_effects.sh | 32 +++++++++++++++++----------- tests/various/clk2fflogic_effects.sv | 23 ++++++++++++++++++-- 3 files changed, 43 insertions(+), 15 deletions(-) diff --git a/passes/sat/clk2fflogic.cc b/passes/sat/clk2fflogic.cc index 2c0e13f85..bcefa7d8f 100644 --- a/passes/sat/clk2fflogic.cc +++ b/passes/sat/clk2fflogic.cc @@ -238,7 +238,8 @@ struct Clk2fflogicPass : public Pass { cell->setPort(ID::EN, module->And(NEW_ID, sig_en_sampled, sig_trg_combined)); cell->setPort(ID::ARGS, sig_args_sampled); if (cell->type == ID($check)) { - SigBit sig_a_sampled = sample_data(module, sig_en, State::S1, false, false).sampled; + SigBit sig_a = cell->getPort(ID::A); + SigBit sig_a_sampled = sample_data(module, sig_a, State::S1, false, false).sampled; cell->setPort(ID::A, sig_a_sampled); } } diff --git a/tests/various/clk2fflogic_effects.sh b/tests/various/clk2fflogic_effects.sh index f44afc1dd..0d133ffdd 100755 --- a/tests/various/clk2fflogic_effects.sh +++ b/tests/various/clk2fflogic_effects.sh @@ -1,27 +1,35 @@ #!/usr/bin/env bash -set -ex +set -e -../../yosys -p " -read_verilog -formal -DFAST clk2fflogic_effects.sv +# TODO: when sim gets native $check support, remove the -DNO_ASSERT here +echo Running yosys sim +../../yosys -q -p " +read_verilog -formal -DNO_ASSERT clk2fflogic_effects.sv hierarchy -top top; proc;; -tee -o clk2fflogic_effects.sim.log sim -fst clk2fflogic_effects.sim.fst -q -n 16 -" -../../yosys -p " -read_verilog -formal -DFAST clk2fflogic_effects.sv +tee -q -o clk2fflogic_effects.sim.log sim -q -n 32 +" +echo Running yosys clk2fflogic sim +../../yosys -q -p " +read_verilog -formal clk2fflogic_effects.sv hierarchy -top top; proc;; clk2fflogic;; -tee -o clk2fflogic_effects.clk2fflogic.log sim -fst clk2fflogic_effects.clk2fflogic.fst -q -n 16 +logger -nowarn ^Assertion +tee -q -o clk2fflogic_effects.clk2fflogic.log sim -q -n 32 " -iverilog -g2012 -o clk2fflogic_effects.iv.out clk2fflogic_effects.sv +echo Running iverilog sim +iverilog -g2012 -DNO_ASSERT -o clk2fflogic_effects.iv.out clk2fflogic_effects.sv + ./clk2fflogic_effects.iv.out > clk2fflogic_effects.iv.log -sort clk2fflogic_effects.iv.log > clk2fflogic_effects.iv.sorted.log -tail -n +3 clk2fflogic_effects.sim.log | sort > clk2fflogic_effects.sim.sorted.log -tail -n +3 clk2fflogic_effects.clk2fflogic.log | sort > clk2fflogic_effects.clk2fflogic.sorted.log +gawk '/([0-9]+):/{T=$1;print};/^Failed/{print T,$0}' clk2fflogic_effects.iv.log | sort > clk2fflogic_effects.iv.sorted.log +gawk '/([0-9]+):/{T=$1;print};/^Failed/{print T,$0}' clk2fflogic_effects.sim.log | sort > clk2fflogic_effects.sim.sorted.log +gawk '/([0-9]+):/{T=$1;print};/^Failed/{print T,$0}' clk2fflogic_effects.clk2fflogic.log | sort > clk2fflogic_effects.clk2fflogic.sorted.log +echo Comparing iverilog sim vs yosys sim cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.sim.sorted.log +echo Comparing iverilog sim vs yosys clk2fflogic sim cmp clk2fflogic_effects.iv.sorted.log clk2fflogic_effects.clk2fflogic.sorted.log diff --git a/tests/various/clk2fflogic_effects.sv b/tests/various/clk2fflogic_effects.sv index b571cf3fe..b38aba3c9 100644 --- a/tests/various/clk2fflogic_effects.sv +++ b/tests/various/clk2fflogic_effects.sv @@ -7,7 +7,7 @@ reg clk = 0; always @(posedge gclk) clk <= !clk; -reg [4:0] counter = 0; +reg [5:0] counter = 0; reg eff_0_trg = '0; reg eff_0_en = '0; @@ -20,6 +20,10 @@ reg eff_2_trgA = '0; reg eff_2_trgB = '0; reg eff_2_en = '0; +reg eff_3_trg = '0; +reg eff_3_en = '0; +reg eff_3_a = '0; + `ifdef FAST always @(posedge gclk) begin `else @@ -37,6 +41,10 @@ always @(posedge clk) begin eff_2_trgA = counter[0]; eff_2_trgB = !counter[0]; eff_2_en <= 32'b00000000000000000000001111111100 >> counter; + + eff_3_trg = 32'b10101010101010101010101010101010 >> counter; + eff_3_en <= 32'b11101110010001001110111001000100 >> counter; + eff_3_a <= 32'b11111010111110100101000001010000 >> counter; end always @(posedge eff_0_trg) @@ -71,11 +79,22 @@ always @(posedge eff_2_trgA, posedge eff_2_trgB) if (eff_2_en) $display("repeated"); +always @(posedge eff_3_trg) + if (eff_3_en) begin + $display("%02d: eff3 vvv", counter); +`ifdef NO_ASSERT + if (!eff_3_a) + $display("Failed assertion eff3 at"); +`else + eff3: assert(eff_3_a); +`endif + end + `ifdef __ICARUS__ initial gclk = 0; always @(gclk) gclk <= #5 !gclk; always @(posedge gclk) - if (counter == 31) + if (counter == 32) $finish(0); `endif From 149c1a7fc6b838abe0503854a7fb046131b0b073 Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Wed, 14 Feb 2024 12:07:47 +0100 Subject: [PATCH 120/159] tests: Support running `make test` with YOSYS_NOVERIFIC=1 A yosys build with verific support can act as a non-verific yosys with `YOSYS_NOVERIFIC=1` in the enviornment, which is useful for quickly testing code that works with either frontend without rebuilding yosys. Before this change, this did not work with `make test` as it would only consider the build time configuration to decide whether to run tests that depend on verific support, immediately failing on those tests when the enviornment contains `YOSYS_NOVERIFIC=1`. This adds logic to the makefile that checks this enviornment variable and also exports YOSYS_NOVERIFIC=1 to the enviornment when that is present as a make variable to support `make test YOSYS_NOVERIFIC=1` invocations. --- Makefile | 13 +++++++++++++ 1 file changed, 13 insertions(+) diff --git a/Makefile b/Makefile index f9dd25361..7acba0b11 100644 --- a/Makefile +++ b/Makefile @@ -848,9 +848,22 @@ else ABCOPT="" endif +# When YOSYS_NOVERIFIC is set as a make variable, also export it to the +# enviornment, so that `YOSYS_NOVERIFIC=1 make test` _and_ +# `make test YOSYS_NOVERIFIC=1` will run with verific disabled. +ifeq ($(YOSYS_NOVERIFIC),1) +export YOSYS_NOVERIFIC +endif + test: $(TARGETS) $(EXTRA_TARGETS) ifeq ($(ENABLE_VERIFIC),1) +ifeq ($(YOSYS_NOVERIFIC),1) + @echo + @echo "Running tests without verific support due to YOSYS_NOVERIFIC=1" + @echo +else +cd tests/verific && bash run-test.sh $(SEEDOPT) +endif endif +cd tests/simple && bash run-test.sh $(SEEDOPT) +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT) From 074b50e9c09e92501088a1570b9cf05c0fc64054 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Thu, 15 Feb 2024 00:15:29 +0000 Subject: [PATCH 121/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 7acba0b11..57e37351c 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+41 +YOSYS_VER := 0.38+46 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 2d8343d4234da07e026225682694e4192db75c3a Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Thu, 15 Feb 2024 23:59:19 +0000 Subject: [PATCH 122/159] update type and variable names Signed-off-by: Ethan Mahintorabi --- passes/cmds/stat.cc | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/passes/cmds/stat.cc b/passes/cmds/stat.cc index 9849d2537..82ad80779 100644 --- a/passes/cmds/stat.cc +++ b/passes/cmds/stat.cc @@ -28,9 +28,9 @@ USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN -struct cell_data_t { +struct cell_area_t { double area; - bool is_flip_flop; + bool is_sequential; }; struct statdata_t @@ -80,7 +80,7 @@ struct statdata_t #undef X } - statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_properties, string techname) + statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_properties, string techname) { tech = techname; @@ -139,8 +139,8 @@ struct statdata_t if (!cell_properties.empty()) { if (cell_properties.count(cell_type)) { - cell_data_t cell_data = cell_properties.at(cell_type); - if (cell_data.is_flip_flop) { + cell_area_t cell_data = cell_properties.at(cell_type); + if (cell_data.is_sequential) { sequential_area += cell_data.area; } area += cell_data.area; @@ -338,7 +338,7 @@ statdata_t hierarchy_worker(std::map &mod_stat, RTL return mod_data; } -void read_liberty_cellarea(dict &cell_properties, string liberty_file) +void read_liberty_cellarea(dict &cell_properties, string liberty_file) { std::ifstream f; f.open(liberty_file.c_str()); @@ -397,7 +397,7 @@ struct StatPass : public Pass { bool width_mode = false, json_mode = false; RTLIL::Module *top_mod = nullptr; std::map mod_stat; - dict cell_properties; + dict cell_properties; string techname; size_t argidx; From f0df0e3912bdc40075a2762530d21add11c7e6d0 Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Fri, 16 Feb 2024 00:01:44 +0000 Subject: [PATCH 123/159] update type and variable names Signed-off-by: Ethan Mahintorabi --- passes/cmds/stat.cc | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/passes/cmds/stat.cc b/passes/cmds/stat.cc index 82ad80779..c74aa7c14 100644 --- a/passes/cmds/stat.cc +++ b/passes/cmds/stat.cc @@ -80,7 +80,7 @@ struct statdata_t #undef X } - statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_properties, string techname) + statdata_t(RTLIL::Design *design, RTLIL::Module *mod, bool width_mode, const dict &cell_area, string techname) { tech = techname; @@ -137,9 +137,9 @@ struct statdata_t cell_type = stringf("%s_%d", cell_type.c_str(), GetSize(cell->getPort(ID::Q))); } - if (!cell_properties.empty()) { - if (cell_properties.count(cell_type)) { - cell_area_t cell_data = cell_properties.at(cell_type); + if (!cell_area.empty()) { + if (cell_area.count(cell_type)) { + cell_area_t cell_data = cell_area.at(cell_type); if (cell_data.is_sequential) { sequential_area += cell_data.area; } @@ -338,7 +338,7 @@ statdata_t hierarchy_worker(std::map &mod_stat, RTL return mod_data; } -void read_liberty_cellarea(dict &cell_properties, string liberty_file) +void read_liberty_cellarea(dict &cell_area, string liberty_file) { std::ifstream f; f.open(liberty_file.c_str()); @@ -356,7 +356,7 @@ void read_liberty_cellarea(dict &cell_properties, string LibertyAst *ar = cell->find("area"); bool is_flip_flop = cell->find("ff") != nullptr; if (ar != nullptr && !ar->value.empty()) - cell_properties["\\" + cell->args[0]] = {/*area=*/atof(ar->value.c_str()), is_flip_flop}; + cell_area["\\" + cell->args[0]] = {/*area=*/atof(ar->value.c_str()), is_flip_flop}; } } @@ -397,7 +397,7 @@ struct StatPass : public Pass { bool width_mode = false, json_mode = false; RTLIL::Module *top_mod = nullptr; std::map mod_stat; - dict cell_properties; + dict cell_area; string techname; size_t argidx; @@ -410,7 +410,7 @@ struct StatPass : public Pass { if (args[argidx] == "-liberty" && argidx+1 < args.size()) { string liberty_file = args[++argidx]; rewrite_filename(liberty_file); - read_liberty_cellarea(cell_properties, liberty_file); + read_liberty_cellarea(cell_area, liberty_file); continue; } if (args[argidx] == "-tech" && argidx+1 < args.size()) { @@ -453,7 +453,7 @@ struct StatPass : public Pass { if (mod->get_bool_attribute(ID::top)) top_mod = mod; - statdata_t data(design, mod, width_mode, cell_properties, techname); + statdata_t data(design, mod, width_mode, cell_area, techname); mod_stat[mod->name] = data; if (json_mode) { From 5a05344d9cd003e5136415fd65a13fd18f28b189 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Fri, 16 Feb 2024 11:41:09 +0100 Subject: [PATCH 124/159] tests: Fix initialization race in xprop tests --- tests/xprop/test.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/xprop/test.py b/tests/xprop/test.py index a275b0d93..b73b4dae7 100644 --- a/tests/xprop/test.py +++ b/tests/xprop/test.py @@ -271,7 +271,7 @@ if "prepare" in steps: for pattern in patterns: print( - f' gclk = 1; #0; A[0] = 1\'b{pattern[-1]}; #0; A = {input_width}\'b{pattern}; #5; gclk = 0; $display("%b %b", A, Y); #5', + f' #0; gclk = 1; #0; A[0] = 1\'b{pattern[-1]}; #0; A = {input_width}\'b{pattern}; #5; gclk = 0; $display("%b %b", A, Y); #5', file=tb_file, ) From e51c77484a6d335e431b8e77aa46f3295365025f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Fri, 16 Feb 2024 11:41:53 +0100 Subject: [PATCH 125/159] tests: Comment on `A[0]` --- tests/xprop/test.py | 1 + 1 file changed, 1 insertion(+) diff --git a/tests/xprop/test.py b/tests/xprop/test.py index b73b4dae7..e2cddf679 100644 --- a/tests/xprop/test.py +++ b/tests/xprop/test.py @@ -270,6 +270,7 @@ if "prepare" in steps: print("initial begin", file=tb_file) for pattern in patterns: + # A[0] might be the clock which requires special sequencing print( f' #0; gclk = 1; #0; A[0] = 1\'b{pattern[-1]}; #0; A = {input_width}\'b{pattern}; #5; gclk = 0; $display("%b %b", A, Y); #5', file=tb_file, From fdda501b587bb1bfc3561979b04a6e323d57677b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Fri, 16 Feb 2024 11:42:56 +0100 Subject: [PATCH 126/159] ci: Stop pinning iverilog revision --- .github/workflows/test-linux.yml | 1 - .github/workflows/test-macos.yml | 1 - 2 files changed, 2 deletions(-) diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml index 28c17a6c0..b3a6bd846 100644 --- a/.github/workflows/test-linux.yml +++ b/.github/workflows/test-linux.yml @@ -86,7 +86,6 @@ jobs: run: | git clone https://github.com/steveicarus/iverilog.git cd iverilog - git checkout 192b6aec96fde982e6ddcb28b346d5893aa8e874 echo "IVERILOG_GIT=$(git rev-parse HEAD)" >> $GITHUB_ENV - name: Cache iverilog diff --git a/.github/workflows/test-macos.yml b/.github/workflows/test-macos.yml index 17e2ae331..9b806a580 100644 --- a/.github/workflows/test-macos.yml +++ b/.github/workflows/test-macos.yml @@ -42,7 +42,6 @@ jobs: run: | git clone https://github.com/steveicarus/iverilog.git cd iverilog - git checkout 192b6aec96fde982e6ddcb28b346d5893aa8e874 echo "IVERILOG_GIT=$(git rev-parse HEAD)" >> $GITHUB_ENV - name: Cache iverilog From b8a1009de98406d6841357954724807bd034176e Mon Sep 17 00:00:00 2001 From: Ethan Mahintorabi Date: Fri, 16 Feb 2024 07:44:09 -0800 Subject: [PATCH 127/159] Update passes/cmds/stat.cc Make reporting line more clear about the non cumulative area of sequential cells Co-authored-by: N. Engelhardt --- passes/cmds/stat.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/passes/cmds/stat.cc b/passes/cmds/stat.cc index c74aa7c14..85107b68f 100644 --- a/passes/cmds/stat.cc +++ b/passes/cmds/stat.cc @@ -256,7 +256,7 @@ struct statdata_t if (area != 0) { log("\n"); log(" Chip area for %smodule '%s': %f\n", (top_mod) ? "top " : "", mod_name.c_str(), area); - log(" Sequential area for %smodule '%s': %f\n", (top_mod) ? "top " : "", mod_name.c_str(), sequential_area); + log(" of which used for sequential elements: %f (%.2f%%)\n", sequential_area, 100.0*sequential_area/area); } if (tech == "xilinx") From f8d4d7128cf72456cc03b0738a8651ac5dbe52e1 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sat, 17 Feb 2024 00:15:42 +0000 Subject: [PATCH 128/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 57e37351c..109721c71 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+46 +YOSYS_VER := 0.38+54 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From bf4a46ccb3b37517f62ac451cf4535630fee365d Mon Sep 17 00:00:00 2001 From: Amelia Cuss Date: Sun, 18 Feb 2024 01:30:28 +1100 Subject: [PATCH 129/159] proc_rom: don't assert on big actionless switch. See the test case. PROC_ROM will consider this for evaluation, even though -- without any actions -- lhs is empty (but still "uniform"). A zero-width memory is constructed, which later fails check with: ERROR: Assert `width != 0' failed in kernel/mem.cc:518. Ensure we don't proceed if there's nothing to encode. --- passes/proc/proc_rom.cc | 5 +++++ tests/proc/proc_rom.ys | 23 +++++++++++++++++++++++ 2 files changed, 28 insertions(+) diff --git a/passes/proc/proc_rom.cc b/passes/proc/proc_rom.cc index b83466ce7..ebc2377aa 100644 --- a/passes/proc/proc_rom.cc +++ b/passes/proc/proc_rom.cc @@ -66,6 +66,11 @@ struct RomWorker } } + if (lhs.empty()) { + log_debug("rejecting switch: lhs empty\n"); + return; + } + int swsigbits = 0; for (int i = 0; i < GetSize(sw->signal); i++) if (sw->signal[i] != State::S0) diff --git a/tests/proc/proc_rom.ys b/tests/proc/proc_rom.ys index 0ef2e2c61..93fd5002b 100644 --- a/tests/proc/proc_rom.ys +++ b/tests/proc/proc_rom.ys @@ -186,4 +186,27 @@ design -stash preopt equiv_opt -assert -run prepare: dummy +design -reset +read_ilang < Date: Tue, 6 Feb 2024 10:22:19 +0100 Subject: [PATCH 130/159] rtlil: Fix `Const` hashing omission --- kernel/rtlil.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/kernel/rtlil.h b/kernel/rtlil.h index 928bc0440..40422dce5 100644 --- a/kernel/rtlil.h +++ b/kernel/rtlil.h @@ -712,7 +712,7 @@ struct RTLIL::Const inline unsigned int hash() const { unsigned int h = mkhash_init; for (auto b : bits) - mkhash(h, b); + h = mkhash(h, b); return h; } }; From 689feed012699d55d36327f3b08ba17dc76567b1 Mon Sep 17 00:00:00 2001 From: Austin Rovinski Date: Mon, 19 Feb 2024 13:00:18 -0500 Subject: [PATCH 131/159] dfflibmap: Add a -dont_use flag to ignore cells This is an alternative to setting the dont_use property in lib. This brings dfflibmap in parity with the abc pass for dont_use. Signed-off-by: Austin Rovinski --- passes/techmap/dfflibmap.cc | 92 ++++++++++++++++++++++++++++--------- tests/techmap/dfflibmap.ys | 7 +++ 2 files changed, 78 insertions(+), 21 deletions(-) diff --git a/passes/techmap/dfflibmap.cc b/passes/techmap/dfflibmap.cc index 12c3a95de..312f34be4 100644 --- a/passes/techmap/dfflibmap.cc +++ b/passes/techmap/dfflibmap.cc @@ -23,6 +23,13 @@ #include #include +#ifdef _WIN32 +#include +#pragma comment(lib, "shlwapi.lib") +#else +#include +#endif + USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN @@ -115,7 +122,15 @@ static bool parse_pin(LibertyAst *cell, LibertyAst *attr, std::string &pin_name, return false; } -static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has_reset, bool rstpol, bool rstval) +static int glob_match(const char *pattern, const char *string) { + #ifdef _WIN32 + return PathMatchSpec(string, pattern); + #else + return fnmatch(pattern, string, 0) == 0; + #endif +} + +static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has_reset, bool rstpol, bool rstval, std::vector &dont_use_cells) { LibertyAst *best_cell = nullptr; std::map best_cell_ports; @@ -135,6 +150,18 @@ static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has if (dn != nullptr && dn->value == "true") continue; + bool dont_use = false; + for (std::string &dont_use_cell : dont_use_cells) + { + if (glob_match(dont_use_cell.c_str(), cell->args[0].c_str())) + { + dont_use = true; + break; + } + } + if (dont_use) + continue; + LibertyAst *ff = cell->find("ff"); if (ff == nullptr) continue; @@ -227,7 +254,7 @@ static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has } } -static void find_cell_sr(LibertyAst *ast, IdString cell_type, bool clkpol, bool setpol, bool clrpol) +static void find_cell_sr(LibertyAst *ast, IdString cell_type, bool clkpol, bool setpol, bool clrpol, std::vector &dont_use_cells) { LibertyAst *best_cell = nullptr; std::map best_cell_ports; @@ -247,6 +274,18 @@ static void find_cell_sr(LibertyAst *ast, IdString cell_type, bool clkpol, bool if (dn != nullptr && dn->value == "true") continue; + bool dont_use = false; + for (std::string &dont_use_cell : dont_use_cells) + { + if (glob_match(dont_use_cell.c_str(), cell->args[0].c_str())) + { + dont_use = true; + break; + } + } + if (dont_use) + continue; + LibertyAst *ff = cell->find("ff"); if (ff == nullptr) continue; @@ -414,7 +453,7 @@ struct DfflibmapPass : public Pass { void help() override { log("\n"); - log(" dfflibmap [-prepare] [-map-only] [-info] -liberty [selection]\n"); + log(" dfflibmap [-prepare] [-map-only] [-info] [-dont_use ] -liberty [selection]\n"); log("\n"); log("Map internal flip-flop cells to the flip-flop cells in the technology\n"); log("library specified in the given liberty file.\n"); @@ -435,6 +474,11 @@ struct DfflibmapPass : public Pass { log("that would be passed to the dfflegalize pass. The design will not be\n"); log("changed.\n"); log("\n"); + log("When called with -dont_use, this command will not map to the specified cell\n"); + log("name as an alternative to setting the dont_use property in the Liberty file.\n"); + log("This argument can be called multiple times with different cell names. This\n"); + log("argument also supports simple glob patterns in the cell name.\n"); + log("\n"); } void execute(std::vector args, RTLIL::Design *design) override { @@ -446,6 +490,8 @@ struct DfflibmapPass : public Pass { bool map_only_mode = false; bool info_mode = false; + std::vector dont_use_cells; + size_t argidx; for (argidx = 1; argidx < args.size(); argidx++) { @@ -467,6 +513,10 @@ struct DfflibmapPass : public Pass { info_mode = true; continue; } + if (arg == "-dont_use" && argidx+1 < args.size()) { + dont_use_cells.push_back(args[++argidx]); + continue; + } break; } extra_args(args, argidx, design); @@ -491,26 +541,26 @@ struct DfflibmapPass : public Pass { LibertyParser libparser(f); f.close(); - find_cell(libparser.ast, ID($_DFF_N_), false, false, false, false); - find_cell(libparser.ast, ID($_DFF_P_), true, false, false, false); + find_cell(libparser.ast, ID($_DFF_N_), false, false, false, false, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_P_), true, false, false, false, dont_use_cells); - find_cell(libparser.ast, ID($_DFF_NN0_), false, true, false, false); - find_cell(libparser.ast, ID($_DFF_NN1_), false, true, false, true); - find_cell(libparser.ast, ID($_DFF_NP0_), false, true, true, false); - find_cell(libparser.ast, ID($_DFF_NP1_), false, true, true, true); - find_cell(libparser.ast, ID($_DFF_PN0_), true, true, false, false); - find_cell(libparser.ast, ID($_DFF_PN1_), true, true, false, true); - find_cell(libparser.ast, ID($_DFF_PP0_), true, true, true, false); - find_cell(libparser.ast, ID($_DFF_PP1_), true, true, true, true); + find_cell(libparser.ast, ID($_DFF_NN0_), false, true, false, false, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_NN1_), false, true, false, true, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_NP0_), false, true, true, false, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_NP1_), false, true, true, true, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_PN0_), true, true, false, false, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_PN1_), true, true, false, true, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_PP0_), true, true, true, false, dont_use_cells); + find_cell(libparser.ast, ID($_DFF_PP1_), true, true, true, true, dont_use_cells); - find_cell_sr(libparser.ast, ID($_DFFSR_NNN_), false, false, false); - find_cell_sr(libparser.ast, ID($_DFFSR_NNP_), false, false, true); - find_cell_sr(libparser.ast, ID($_DFFSR_NPN_), false, true, false); - find_cell_sr(libparser.ast, ID($_DFFSR_NPP_), false, true, true); - find_cell_sr(libparser.ast, ID($_DFFSR_PNN_), true, false, false); - find_cell_sr(libparser.ast, ID($_DFFSR_PNP_), true, false, true); - find_cell_sr(libparser.ast, ID($_DFFSR_PPN_), true, true, false); - find_cell_sr(libparser.ast, ID($_DFFSR_PPP_), true, true, true); + find_cell_sr(libparser.ast, ID($_DFFSR_NNN_), false, false, false, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_NNP_), false, false, true, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_NPN_), false, true, false, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_NPP_), false, true, true, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_PNN_), true, false, false, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_PNP_), true, false, true, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_PPN_), true, true, false, dont_use_cells); + find_cell_sr(libparser.ast, ID($_DFFSR_PPP_), true, true, true, dont_use_cells); log(" final dff cell mappings:\n"); logmap_all(); diff --git a/tests/techmap/dfflibmap.ys b/tests/techmap/dfflibmap.ys index b0a7d6b7e..e8b125456 100644 --- a/tests/techmap/dfflibmap.ys +++ b/tests/techmap/dfflibmap.ys @@ -58,3 +58,10 @@ select -assert-count 4 t:$_NOT_ select -assert-count 1 t:dffn select -assert-count 4 t:dffsr select -assert-none t:dffn t:dffsr t:$_NOT_ %% %n t:* %i + +design -load orig +dfflibmap -liberty dfflibmap.lib -dont_use *ffn +clean + +select -assert-count 0 t:dffn +select -assert-count 5 t:dffsr From 5059bb1d4fa5e75f0ebc7f2a4a3938b729155fed Mon Sep 17 00:00:00 2001 From: Austin Rovinski Date: Mon, 19 Feb 2024 14:40:46 -0500 Subject: [PATCH 132/159] dfflibmap: force PathMatchSpecA on WIN32 Depending on the WIN32 compilation mode, PathMatchSpec may expect a LPCSTR or LPCWSTR argument. char* is only convertable to LPCSTR, so use that implementation Signed-off-by: Austin Rovinski --- passes/techmap/dfflibmap.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/passes/techmap/dfflibmap.cc b/passes/techmap/dfflibmap.cc index 312f34be4..6caf282bd 100644 --- a/passes/techmap/dfflibmap.cc +++ b/passes/techmap/dfflibmap.cc @@ -124,7 +124,7 @@ static bool parse_pin(LibertyAst *cell, LibertyAst *attr, std::string &pin_name, static int glob_match(const char *pattern, const char *string) { #ifdef _WIN32 - return PathMatchSpec(string, pattern); + return PathMatchSpecA(string, pattern); #else return fnmatch(pattern, string, 0) == 0; #endif From 01d6c12af44953b62b3d6c7d10824cc4c3ba5292 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 20 Feb 2024 00:15:14 +0000 Subject: [PATCH 133/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 109721c71..93d8effca 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+54 +YOSYS_VER := 0.38+75 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 20dbc860e7f28ec3a07b32973583b46f88dd1254 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Tue, 20 Feb 2024 12:44:55 +0100 Subject: [PATCH 134/159] Add shlwapi lib for mingw builds --- Makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index 93d8effca..205fe319f 100644 --- a/Makefile +++ b/Makefile @@ -355,7 +355,7 @@ LD = i686-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LDLIBS := $(filter-out -lrt,$(LDLIBS)) -lshlwapi ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe @@ -366,7 +366,7 @@ LD = x86_64-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LDLIBS := $(filter-out -lrt,$(LDLIBS)) -lshlwapi ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe From 03cadf64748c8027f49f46cab11b33c7addfe46f Mon Sep 17 00:00:00 2001 From: Austin Rovinski Date: Tue, 20 Feb 2024 11:04:55 -0500 Subject: [PATCH 135/159] dfflibmap: use patmatch() from kernel/yosys.cc Replace OS matching functions with yosys kernel function Signed-off-by: Austin Rovinski --- passes/techmap/dfflibmap.cc | 19 ++----------------- 1 file changed, 2 insertions(+), 17 deletions(-) diff --git a/passes/techmap/dfflibmap.cc b/passes/techmap/dfflibmap.cc index 6caf282bd..78bfe1586 100644 --- a/passes/techmap/dfflibmap.cc +++ b/passes/techmap/dfflibmap.cc @@ -23,13 +23,6 @@ #include #include -#ifdef _WIN32 -#include -#pragma comment(lib, "shlwapi.lib") -#else -#include -#endif - USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN @@ -122,14 +115,6 @@ static bool parse_pin(LibertyAst *cell, LibertyAst *attr, std::string &pin_name, return false; } -static int glob_match(const char *pattern, const char *string) { - #ifdef _WIN32 - return PathMatchSpecA(string, pattern); - #else - return fnmatch(pattern, string, 0) == 0; - #endif -} - static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has_reset, bool rstpol, bool rstval, std::vector &dont_use_cells) { LibertyAst *best_cell = nullptr; @@ -153,7 +138,7 @@ static void find_cell(LibertyAst *ast, IdString cell_type, bool clkpol, bool has bool dont_use = false; for (std::string &dont_use_cell : dont_use_cells) { - if (glob_match(dont_use_cell.c_str(), cell->args[0].c_str())) + if (patmatch(dont_use_cell.c_str(), cell->args[0].c_str())) { dont_use = true; break; @@ -277,7 +262,7 @@ static void find_cell_sr(LibertyAst *ast, IdString cell_type, bool clkpol, bool bool dont_use = false; for (std::string &dont_use_cell : dont_use_cells) { - if (glob_match(dont_use_cell.c_str(), cell->args[0].c_str())) + if (patmatch(dont_use_cell.c_str(), cell->args[0].c_str())) { dont_use = true; break; From d5934357f3e4c9030a2d2287047aa40975801ca3 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 21 Feb 2024 00:15:24 +0000 Subject: [PATCH 136/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 205fe319f..a1185515c 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+75 +YOSYS_VER := 0.38+88 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 4c96546717546e5e0327559235c2a990c9cd980c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Wed, 21 Feb 2024 12:03:37 +0100 Subject: [PATCH 137/159] equiv_simple: Take FFs into account for driver map This fixes an issue introduced in commit 26644ea due to which flip-flops are inadvertently ignored when building up driver map. The mentioned commit wasn't without functional change after all. --- passes/equiv/equiv_simple.cc | 2 ++ 1 file changed, 2 insertions(+) diff --git a/passes/equiv/equiv_simple.cc b/passes/equiv/equiv_simple.cc index 2c9d82914..59974a1e6 100644 --- a/passes/equiv/equiv_simple.cc +++ b/passes/equiv/equiv_simple.cc @@ -339,6 +339,8 @@ struct EquivSimplePass : public Pass { CellTypes ct; ct.setup_internals(); ct.setup_stdcells(); + ct.setup_internals_ff(); + ct.setup_stdcells_mem(); for (auto module : design->selected_modules()) { From 38f1b0b12d27d0a9c14e540b7907579d26a3f454 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Wed, 21 Feb 2024 20:23:49 +0100 Subject: [PATCH 138/159] Revert "Add shlwapi lib for mingw builds" This reverts commit 20dbc860e7f28ec3a07b32973583b46f88dd1254. --- Makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index a1185515c..4b978ae20 100644 --- a/Makefile +++ b/Makefile @@ -355,7 +355,7 @@ LD = i686-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) -lshlwapi +LDLIBS := $(filter-out -lrt,$(LDLIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe @@ -366,7 +366,7 @@ LD = x86_64-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) -lshlwapi +LDLIBS := $(filter-out -lrt,$(LDLIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe From 84116c9a38546d549c9b122983441d69544854ab Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Thu, 22 Feb 2024 00:15:36 +0000 Subject: [PATCH 139/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 4b978ae20..3f5c787fd 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.38+88 +YOSYS_VER := 0.38+92 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From 173f4b5fbd4cc7841698846816c595889dff2db0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 22 Feb 2024 22:03:44 +0100 Subject: [PATCH 140/159] Bump Claire's notices --- README.md | 2 +- kernel/yosys.cc | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 3be1b4c2e..660bd5c6d 100644 --- a/README.md +++ b/README.md @@ -1,7 +1,7 @@ ``` yosys -- Yosys Open SYnthesis Suite -Copyright (C) 2012 - 2020 Claire Xenia Wolf +Copyright (C) 2012 - 2024 Claire Xenia Wolf Permission to use, copy, modify, and/or distribute this software for any purpose with or without fee is hereby granted, provided that the above diff --git a/kernel/yosys.cc b/kernel/yosys.cc index c7f5bebda..6d33ad96c 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -141,7 +141,7 @@ void yosys_banner() log(" | |\n"); log(" | yosys -- Yosys Open SYnthesis Suite |\n"); log(" | |\n"); - log(" | Copyright (C) 2012 - 2020 Claire Xenia Wolf |\n"); + log(" | Copyright (C) 2012 - 2024 Claire Xenia Wolf |\n"); log(" | |\n"); log(" | Permission to use, copy, modify, and/or distribute this software for any |\n"); log(" | purpose with or without fee is hereby granted, provided that the above |\n"); From f7737a12ca8fc0d9d53bf070332e3cc22d2ed82f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 22 Feb 2024 22:14:32 +0100 Subject: [PATCH 141/159] Cut down startup banner --- kernel/register.cc | 40 ++++++++++++++++++++++++++++++++++++++++ kernel/yosys.cc | 14 +------------- 2 files changed, 41 insertions(+), 13 deletions(-) diff --git a/kernel/register.cc b/kernel/register.cc index 1853e94d5..b5485e06d 100644 --- a/kernel/register.cc +++ b/kernel/register.cc @@ -992,4 +992,44 @@ struct MinisatSatSolver : public SatSolver { } } MinisatSatSolver; +struct LicensePass : public Pass { + LicensePass() : Pass("license", "print license terms") { } + void help() override + { + log("\n"); + log(" license\n"); + log("\n"); + log("This command produces the following notice.\n"); + notice(); + } + void execute(std::vector args, RTLIL::Design*) override + { + notice(); + } + void notice() + { + log("\n"); + log(" /----------------------------------------------------------------------------\\\n"); + log(" | |\n"); + log(" | yosys -- Yosys Open SYnthesis Suite |\n"); + log(" | |\n"); + log(" | Copyright (C) 2012 - 2024 Claire Xenia Wolf |\n"); + log(" | |\n"); + log(" | Permission to use, copy, modify, and/or distribute this software for any |\n"); + log(" | purpose with or without fee is hereby granted, provided that the above |\n"); + log(" | copyright notice and this permission notice appear in all copies. |\n"); + log(" | |\n"); + log(" | THE SOFTWARE IS PROVIDED \"AS IS\" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |\n"); + log(" | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |\n"); + log(" | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |\n"); + log(" | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |\n"); + log(" | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |\n"); + log(" | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |\n"); + log(" | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |\n"); + log(" | |\n"); + log(" \\----------------------------------------------------------------------------/\n"); + log("\n"); + } +} LicensePass; + YOSYS_NAMESPACE_END diff --git a/kernel/yosys.cc b/kernel/yosys.cc index 6d33ad96c..fe158bc87 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -140,20 +140,8 @@ void yosys_banner() log(" /----------------------------------------------------------------------------\\\n"); log(" | |\n"); log(" | yosys -- Yosys Open SYnthesis Suite |\n"); - log(" | |\n"); log(" | Copyright (C) 2012 - 2024 Claire Xenia Wolf |\n"); - log(" | |\n"); - log(" | Permission to use, copy, modify, and/or distribute this software for any |\n"); - log(" | purpose with or without fee is hereby granted, provided that the above |\n"); - log(" | copyright notice and this permission notice appear in all copies. |\n"); - log(" | |\n"); - log(" | THE SOFTWARE IS PROVIDED \"AS IS\" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |\n"); - log(" | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |\n"); - log(" | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |\n"); - log(" | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |\n"); - log(" | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |\n"); - log(" | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |\n"); - log(" | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |\n"); + log(" | Distributed under an ISC-like license, type \"license\" to see terms |\n"); log(" | |\n"); log(" \\----------------------------------------------------------------------------/\n"); log("\n"); From b5b737de3887d77f117d99d3fad21a4eeb97953e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Thu, 22 Feb 2024 22:20:35 +0100 Subject: [PATCH 142/159] Shrink a bit more --- kernel/yosys.cc | 2 -- 1 file changed, 2 deletions(-) diff --git a/kernel/yosys.cc b/kernel/yosys.cc index fe158bc87..444f597ca 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -138,11 +138,9 @@ void yosys_banner() { log("\n"); log(" /----------------------------------------------------------------------------\\\n"); - log(" | |\n"); log(" | yosys -- Yosys Open SYnthesis Suite |\n"); log(" | Copyright (C) 2012 - 2024 Claire Xenia Wolf |\n"); log(" | Distributed under an ISC-like license, type \"license\" to see terms |\n"); - log(" | |\n"); log(" \\----------------------------------------------------------------------------/\n"); log("\n"); log(" %s\n", yosys_version_str); From 975517b022afc8ec74491c77304a91862ce59dd0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Fri, 23 Feb 2024 12:20:05 +0100 Subject: [PATCH 143/159] memory_memx: Fix log header --- passes/memory/memory_memx.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/passes/memory/memory_memx.cc b/passes/memory/memory_memx.cc index 7edc26caa..22aebb43f 100644 --- a/passes/memory/memory_memx.cc +++ b/passes/memory/memory_memx.cc @@ -50,7 +50,7 @@ struct MemoryMemxPass : public Pass { } void execute(std::vector args, RTLIL::Design *design) override { - log_header(design, "Executing MEMORY_MEMX pass (converting $mem cells to logic and flip-flops).\n"); + log_header(design, "Executing MEMORY_MEMX pass (emit soft logic for out-of-bounds handling).\n"); extra_args(args, 1, design); for (auto module : design->selected_modules()) From 030d639201a36ace29122d8e57acde44c0fdc16c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Fri, 23 Feb 2024 12:26:47 +0100 Subject: [PATCH 144/159] opt_mem, memory_*: Refuse to operate in presence of processes Processes can contain `MemWriteAction` entries which are invisible to most passes operating on memories but which will be lowered to write ports later on by `proc_memwr`. For that reason we can get corrupted RTLIL if we sequence the memory passes before `proc`. Address that by making the affected memory passes ignore modules with processes. --- passes/memory/memory_collect.cc | 3 +++ passes/memory/memory_libmap.cc | 3 +++ passes/memory/memory_map.cc | 3 +++ passes/memory/memory_narrow.cc | 3 +++ passes/memory/memory_share.cc | 6 +++++- passes/opt/opt_mem.cc | 3 +++ 6 files changed, 20 insertions(+), 1 deletion(-) diff --git a/passes/memory/memory_collect.cc b/passes/memory/memory_collect.cc index bf3bb34f8..157042c9c 100644 --- a/passes/memory/memory_collect.cc +++ b/passes/memory/memory_collect.cc @@ -39,6 +39,9 @@ struct MemoryCollectPass : public Pass { log_header(design, "Executing MEMORY_COLLECT pass (generating $mem cells).\n"); extra_args(args, 1, design); for (auto module : design->selected_modules()) { + if (module->has_processes_warn()) + continue; + for (auto &mem : Mem::get_selected_memories(module)) { if (!mem.packed) { mem.packed = true; diff --git a/passes/memory/memory_libmap.cc b/passes/memory/memory_libmap.cc index 2e683b8eb..77a4eb81b 100644 --- a/passes/memory/memory_libmap.cc +++ b/passes/memory/memory_libmap.cc @@ -2229,6 +2229,9 @@ struct MemoryLibMapPass : public Pass { Library lib = parse_library(lib_files, defines); for (auto module : design->selected_modules()) { + if (module->has_processes_warn()) + continue; + MapWorker worker(module); auto mems = Mem::get_selected_memories(module); for (auto &mem : mems) diff --git a/passes/memory/memory_map.cc b/passes/memory/memory_map.cc index e2f74c2e1..cafc0aaf3 100644 --- a/passes/memory/memory_map.cc +++ b/passes/memory/memory_map.cc @@ -493,6 +493,9 @@ struct MemoryMapPass : public Pass { extra_args(args, argidx, design); for (auto mod : design->selected_modules()) { + if (mod->has_processes_warn()) + continue; + MemoryMapWorker worker(design, mod); worker.attr_icase = attr_icase; worker.attributes = attributes; diff --git a/passes/memory/memory_narrow.cc b/passes/memory/memory_narrow.cc index cf5e43465..46c538bab 100644 --- a/passes/memory/memory_narrow.cc +++ b/passes/memory/memory_narrow.cc @@ -46,6 +46,9 @@ struct MemoryNarrowPass : public Pass { extra_args(args, argidx, design); for (auto module : design->selected_modules()) { + if (module->has_processes_warn()) + continue; + for (auto &mem : Mem::get_selected_memories(module)) { bool wide = false; diff --git a/passes/memory/memory_share.cc b/passes/memory/memory_share.cc index 8b2354ef8..e06989f4a 100644 --- a/passes/memory/memory_share.cc +++ b/passes/memory/memory_share.cc @@ -558,8 +558,12 @@ struct MemorySharePass : public Pass { extra_args(args, argidx, design); MemoryShareWorker msw(design, flag_widen, flag_sat); - for (auto module : design->selected_modules()) + for (auto module : design->selected_modules()) { + if (module->has_processes_warn()) + continue; + msw(module); + } } } MemorySharePass; diff --git a/passes/opt/opt_mem.cc b/passes/opt/opt_mem.cc index 885b6f97d..9a2d8e6a5 100644 --- a/passes/opt/opt_mem.cc +++ b/passes/opt/opt_mem.cc @@ -52,6 +52,9 @@ struct OptMemPass : public Pass { int total_count = 0; for (auto module : design->selected_modules()) { + if (module->has_processes_warn()) + continue; + SigMap sigmap(module); FfInitVals initvals(&sigmap, module); for (auto &mem : Mem::get_selected_memories(module)) { From fe34abab3a22babfbc87d15c0c2a0e7a85a1f50b Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Fri, 23 Feb 2024 14:03:12 +0100 Subject: [PATCH 145/159] =?UTF-8?q?=C2=B4Use=20g++=20and=20clang++=20inste?= =?UTF-8?q?ad=20of=20gcc=20and=20clang=20as=20C++=20compilers?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Makefile | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Makefile b/Makefile index 3f5c787fd..fa5440ac5 100644 --- a/Makefile +++ b/Makefile @@ -215,7 +215,7 @@ ABC_ARCHFLAGS += "-DABC_NO_RLIMIT" endif ifeq ($(CONFIG),clang) -CXX = clang +CXX = clang++ LD = clang++ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -Wno-c++11-narrowing $(ABC_ARCHFLAGS)" @@ -238,8 +238,8 @@ endif endif else ifeq ($(CONFIG),gcc) -CXX = gcc -LD = gcc +CXX = g++ +LD = g++ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" @@ -262,8 +262,8 @@ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" else ifeq ($(CONFIG),cygwin) -CXX = gcc -LD = gcc +CXX = g++ +LD = g++ CXXFLAGS += -std=gnu++11 -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" @@ -309,13 +309,13 @@ yosys.html: misc/yosys.html else ifeq ($(CONFIG),wasi) ifeq ($(WASI_SDK),) -CXX = clang +CXX = clang++ LD = clang++ AR = llvm-ar RANLIB = llvm-ranlib WASIFLAGS := -target wasm32-wasi --sysroot $(WASI_SYSROOT) $(WASIFLAGS) else -CXX = $(WASI_SDK)/bin/clang +CXX = $(WASI_SDK)/bin/clang++ LD = $(WASI_SDK)/bin/clang++ AR = $(WASI_SDK)/bin/ar RANLIB = $(WASI_SDK)/bin/ranlib From a69a89f2e50690e281ae16e58e072c4a5bd5435c Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 16:43:55 +0100 Subject: [PATCH 146/159] LD is removed, we use CXX instead --- Makefile | 21 +++++---------------- 1 file changed, 5 insertions(+), 16 deletions(-) diff --git a/Makefile b/Makefile index fa5440ac5..80f929447 100644 --- a/Makefile +++ b/Makefile @@ -216,7 +216,6 @@ endif ifeq ($(CONFIG),clang) CXX = clang++ -LD = clang++ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -Wno-c++11-narrowing $(ABC_ARCHFLAGS)" @@ -239,17 +238,15 @@ endif else ifeq ($(CONFIG),gcc) CXX = g++ -LD = g++ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" else ifeq ($(CONFIG),gcc-static) -LD = $(CXX) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static LDLIBS := $(filter-out -lrt,$(LDLIBS)) CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) CXXFLAGS += -std=$(CXXSTD) -Os -ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \ +ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(CXX)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \ ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1 ifeq ($(DISABLE_ABC_THREADS),1) ABCMKARGS += "ABC_USE_NO_PTHREADS=1" @@ -257,19 +254,16 @@ endif else ifeq ($(CONFIG),afl-gcc) CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc -LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" else ifeq ($(CONFIG),cygwin) CXX = g++ -LD = g++ CXXFLAGS += -std=gnu++11 -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" else ifeq ($(CONFIG),emcc) CXX = emcc -LD = emcc CXXFLAGS := -std=$(CXXSTD) $(filter-out -fPIC -ggdb,$(CXXFLAGS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8 -Wno-c++11-narrowing" EMCC_CXXFLAGS := -Os -Wno-warn-absolute-paths @@ -310,13 +304,11 @@ yosys.html: misc/yosys.html else ifeq ($(CONFIG),wasi) ifeq ($(WASI_SDK),) CXX = clang++ -LD = clang++ AR = llvm-ar RANLIB = llvm-ranlib WASIFLAGS := -target wasm32-wasi --sysroot $(WASI_SYSROOT) $(WASIFLAGS) else CXX = $(WASI_SDK)/bin/clang++ -LD = $(WASI_SDK)/bin/clang++ AR = $(WASI_SDK)/bin/ar RANLIB = $(WASI_SDK)/bin/ranlib WASIFLAGS := --sysroot $(WASI_SDK)/share/wasi-sysroot $(WASIFLAGS) @@ -339,7 +331,6 @@ endif else ifeq ($(CONFIG),mxe) PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++ -LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s @@ -351,7 +342,6 @@ EXE = .exe else ifeq ($(CONFIG),msys2-32) CXX = i686-w64-mingw32-g++ -LD = i686-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s @@ -362,7 +352,6 @@ EXE = .exe else ifeq ($(CONFIG),msys2-64) CXX = x86_64-w64-mingw32-g++ -LD = x86_64-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s @@ -752,13 +741,13 @@ yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS)) endif $(PROGRAM_PREFIX)yosys$(EXE): $(OBJS) - $(P) $(LD) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LDFLAGS) $(LDFLAGS) $(OBJS) $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LDFLAGS) $(LDFLAGS) $(OBJS) $(LDLIBS) $(LDLIBS_VERIFIC) libyosys.so: $(filter-out kernel/driver.o,$(OBJS)) ifeq ($(OS), Darwin) - $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) else - $(P) $(LD) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) endif %.o: %.cc @@ -767,7 +756,7 @@ endif %.pyh: %.h $(Q) mkdir -p $(dir $@) - $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) $(CXXFLAGS) -x c++ -o $@ -E -P - + $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(CXX) $(CXXFLAGS) -x c++ -o $@ -E -P - ifeq ($(ENABLE_PYOSYS),1) $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES) From 033fa103078b07fad19c5e2f39000b312a13bf7a Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 16:49:28 +0100 Subject: [PATCH 147/159] We use CXX instead of LD for linking yosys-filterlib --- passes/techmap/Makefile.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/passes/techmap/Makefile.inc b/passes/techmap/Makefile.inc index 97d8b76f3..ed2f1c0bf 100644 --- a/passes/techmap/Makefile.inc +++ b/passes/techmap/Makefile.inc @@ -56,5 +56,5 @@ EXTRA_OBJS += passes/techmap/filterlib.o $(PROGRAM_PREFIX)yosys-filterlib$(EXE): passes/techmap/filterlib.o $(Q) mkdir -p $(dir $@) - $(P) $(LD) -o $(PROGRAM_PREFIX)yosys-filterlib$(EXE) $(LDFLAGS) $^ $(LDLIBS) + $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys-filterlib$(EXE) $(LDFLAGS) $^ $(LDLIBS) endif From dea4aeae56a7b46246fff05f6d48909b9dc8c454 Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 17:02:35 +0100 Subject: [PATCH 148/159] LDLIBS -> LIBS. LDFLAGS -> LINKFLAGS --- Makefile | 122 +++++++++++++++++++++++++++---------------------------- 1 file changed, 61 insertions(+), 61 deletions(-) diff --git a/Makefile b/Makefile index 80f929447..e55388159 100644 --- a/Makefile +++ b/Makefile @@ -92,14 +92,14 @@ VPATH := $(YOSYS_SRC) CXXSTD ?= c++11 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include -LDLIBS := $(LDLIBS) -lstdc++ -lm -PLUGIN_LDFLAGS := -PLUGIN_LDLIBS := -EXE_LDFLAGS := +LIBS := $(LIBS) -lstdc++ -lm +PLUGIN_LINKFLAGS := +PLUGIN_LIBS := +EXE_LINKFLAGS := ifeq ($(OS), MINGW) -EXE_LDFLAGS := -Wl,--export-all-symbols -Wl,--out-implib,libyosys_exe.a -PLUGIN_LDFLAGS += -L"$(LIBDIR)" -PLUGIN_LDLIBS := -lyosys_exe +EXE_LINKFLAGS := -Wl,--export-all-symbols -Wl,--out-implib,libyosys_exe.a +PLUGIN_LINKFLAGS += -L"$(LIBDIR)" +PLUGIN_LIBS := -lyosys_exe endif PKG_CONFIG ?= pkg-config @@ -109,7 +109,7 @@ STRIP ?= strip AWK ?= awk ifeq ($(OS), Darwin) -PLUGIN_LDFLAGS += -undefined dynamic_lookup +PLUGIN_LINKFLAGS += -undefined dynamic_lookup # homebrew search paths ifneq ($(shell :; command -v brew),) @@ -117,10 +117,10 @@ BREW_PREFIX := $(shell brew --prefix)/opt $(info $$BREW_PREFIX is [${BREW_PREFIX}]) ifeq ($(ENABLE_PYOSYS),1) CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost -LDFLAGS += -L$(BREW_PREFIX)/boost/lib +LINKFLAGS += -L$(BREW_PREFIX)/boost/lib endif CXXFLAGS += -I$(BREW_PREFIX)/readline/include -LDFLAGS += -L$(BREW_PREFIX)/readline/lib +LINKFLAGS += -L$(BREW_PREFIX)/readline/lib PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH) PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH) export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH) @@ -129,15 +129,15 @@ export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX else ifneq ($(shell :; command -v port),) PORT_PREFIX := $(patsubst %/bin/port,%,$(shell :; command -v port)) CXXFLAGS += -I$(PORT_PREFIX)/include -LDFLAGS += -L$(PORT_PREFIX)/lib +LINKFLAGS += -L$(PORT_PREFIX)/lib PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH) export PATH := $(PORT_PREFIX)/bin:$(PATH) endif else -LDFLAGS += -rdynamic +LINKFLAGS += -rdynamic ifneq ($(OS), OpenBSD) -LDLIBS += -lrt +LIBS += -lrt endif endif @@ -222,17 +222,17 @@ ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -Wno-c++11-narrowing $(ABC_ARCHFLAGS) ifneq ($(SANITIZER),) $(info [Clang Sanitizer] $(SANITIZER)) CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER) -LDFLAGS += -g -fsanitize=$(SANITIZER) +LINKFLAGS += -g -fsanitize=$(SANITIZER) ifneq ($(findstring address,$(SANITIZER)),) ENABLE_COVER := 0 endif ifneq ($(findstring memory,$(SANITIZER)),) CXXFLAGS += -fPIE -fsanitize-memory-track-origins -LDFLAGS += -fPIE -fsanitize-memory-track-origins +LINKFLAGS += -fPIE -fsanitize-memory-track-origins endif ifneq ($(findstring cfi,$(SANITIZER)),) CXXFLAGS += -flto -LDFLAGS += -flto +LINKFLAGS += -flto endif endif @@ -242,8 +242,8 @@ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" else ifeq ($(CONFIG),gcc-static) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -static +LIBS := $(filter-out -lrt,$(LIBS)) CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(CXX)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \ @@ -267,15 +267,15 @@ CXX = emcc CXXFLAGS := -std=$(CXXSTD) $(filter-out -fPIC -ggdb,$(CXXFLAGS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8 -Wno-c++11-narrowing" EMCC_CXXFLAGS := -Os -Wno-warn-absolute-paths -EMCC_LDFLAGS := --memory-init-file 0 --embed-file share -EMCC_LDFLAGS += -s NO_EXIT_RUNTIME=1 -EMCC_LDFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']" -EMCC_LDFLAGS += -s TOTAL_MEMORY=134217728 -EMCC_LDFLAGS += -s EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]' +EMCC_LINKFLAGS := --memory-init-file 0 --embed-file share +EMCC_LINKFLAGS += -s NO_EXIT_RUNTIME=1 +EMCC_LINKFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']" +EMCC_LINKFLAGS += -s TOTAL_MEMORY=134217728 +EMCC_LINKFLAGS += -s EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]' # https://github.com/kripken/emscripten/blob/master/src/settings.js CXXFLAGS += $(EMCC_CXXFLAGS) -LDFLAGS += $(EMCC_LDFLAGS) -LDLIBS = +LINKFLAGS += $(EMCC_LINKFLAGS) +LIBS = EXE = .js DISABLE_SPAWN := 1 @@ -314,8 +314,8 @@ RANLIB = $(WASI_SDK)/bin/ranlib WASIFLAGS := --sysroot $(WASI_SDK)/share/wasi-sysroot $(WASIFLAGS) endif CXXFLAGS := $(WASIFLAGS) -std=$(CXXSTD) -Os -D_WASI_EMULATED_PROCESS_CLOCKS $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LDFLAGS)) -LDLIBS := -lwasi-emulated-process-clocks $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LINKFLAGS)) +LIBS := -lwasi-emulated-process-clocks $(filter-out -lrt,$(LIBS)) ABCMKARGS += AR="$(AR)" RANLIB="$(RANLIB)" ABCMKARGS += ARCHFLAGS="$(WASIFLAGS) -D_WASI_EMULATED_PROCESS_CLOCKS -DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING -DABC_NO_RLIMIT -Wno-c++11-narrowing" ABCMKARGS += OPTFLAGS="-Os" @@ -333,19 +333,19 @@ PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" # TODO: Try to solve pthread linking issue in more appropriate way -ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc" +ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LINKFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc" EXE = .exe else ifeq ($(CONFIG),msys2-32) CXX = i686-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe @@ -354,8 +354,8 @@ else ifeq ($(CONFIG),msys2-64) CXX = x86_64-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe @@ -382,9 +382,9 @@ ifeq ($(BOOST_PYTHON_LIB),) $(error BOOST_PYTHON_LIB could not be detected. Please define manually) endif -LDLIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem -# python-config --ldflags includes LDLIBS for some reason -LDFLAGS += $(filter-out -l%,$(shell $(PYTHON_CONFIG) --ldflags)) +LIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem +# python-config --ldflags includes LIBS for some reason +LINKFLAGS += $(filter-out -l%,$(shell $(PYTHON_CONFIG) --ldflags)) CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON PY_WRAPPER_FILE = kernel/python_wrappers @@ -398,22 +398,22 @@ CXXFLAGS += -DYOSYS_ENABLE_READLINE ifeq ($(OS), $(filter $(OS),FreeBSD OpenBSD NetBSD)) CXXFLAGS += -I/usr/local/include endif -LDLIBS += -lreadline +LIBS += -lreadline ifeq ($(LINK_CURSES),1) -LDLIBS += -lcurses +LIBS += -lcurses ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline" endif ifeq ($(LINK_TERMCAP),1) -LDLIBS += -ltermcap +LIBS += -ltermcap ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap" endif ifeq ($(CONFIG),mxe) -LDLIBS += -ltermcap +LIBS += -ltermcap endif else ifeq ($(ENABLE_EDITLINE),1) CXXFLAGS += -DYOSYS_ENABLE_EDITLINE -LDLIBS += -ledit -ltinfo -lbsd +LIBS += -ledit -ltinfo -lbsd else ABCMKARGS += "ABC_USE_NO_READLINE=1" endif @@ -432,9 +432,9 @@ CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-e ifeq ($(OS), MINGW) CXXFLAGS += -Ilibs/dlfcn-win32 endif -LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi) +LIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi) ifneq ($(OS), $(filter $(OS),FreeBSD OpenBSD NetBSD MINGW)) -LDLIBS += -ldl +LIBS += -ldl endif endif @@ -444,7 +444,7 @@ endif ifeq ($(ENABLE_ZLIB),1) CXXFLAGS += -DYOSYS_ENABLE_ZLIB -LDLIBS += -lz +LIBS += -lz endif @@ -461,21 +461,21 @@ endif ifeq ($(CONFIG),mxe) CXXFLAGS += -DYOSYS_ENABLE_TCL -LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv +LIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv else CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL -LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo $(TCL_LIBS)) +LIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo $(TCL_LIBS)) endif endif ifeq ($(ENABLE_GCOV),1) CXXFLAGS += --coverage -LDFLAGS += --coverage +LINKFLAGS += --coverage endif ifeq ($(ENABLE_GPROF),1) CXXFLAGS += -pg -LDFLAGS += -pg +LINKFLAGS += -pg endif ifeq ($(ENABLE_NDEBUG),1) @@ -495,7 +495,7 @@ CXXFLAGS += -DYOSYS_ENABLE_ABC ifeq ($(LINK_ABC),1) CXXFLAGS += -DYOSYS_LINK_ABC ifeq ($(DISABLE_ABC_THREADS),0) -LDLIBS += -lpthread +LIBS += -lpthread endif else ifeq ($(ABCEXTERNAL),) @@ -509,10 +509,10 @@ GHDL_PREFIX ?= $(PREFIX) GHDL_INCLUDE_DIR ?= $(GHDL_PREFIX)/include GHDL_LIB_DIR ?= $(GHDL_PREFIX)/lib CXXFLAGS += -I$(GHDL_INCLUDE_DIR) -DYOSYS_ENABLE_GHDL -LDLIBS += $(GHDL_LIB_DIR)/libghdl.a $(file <$(GHDL_LIB_DIR)/libghdl.link) +LIBS += $(GHDL_LIB_DIR)/libghdl.a $(file <$(GHDL_LIB_DIR)/libghdl.link) endif -LDLIBS_VERIFIC = +LIBS_VERIFIC = ifeq ($(ENABLE_VERIFIC),1) VERIFIC_DIR ?= /usr/local/src/verific_lib VERIFIC_COMPONENTS ?= verilog database util containers hier_tree @@ -538,9 +538,9 @@ CXXFLAGS += -DYOSYSHQ_VERIFIC_EXTENSIONS endif CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC ifeq ($(OS), Darwin) -LDLIBS_VERIFIC += $(foreach comp,$(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)),-Wl,-force_load $(comp)) -lz +LIBS_VERIFIC += $(foreach comp,$(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)),-Wl,-force_load $(comp)) -lz else -LDLIBS_VERIFIC += -Wl,--whole-archive $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -Wl,--no-whole-archive -lz +LIBS_VERIFIC += -Wl,--whole-archive $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -Wl,--no-whole-archive -lz endif endif @@ -741,13 +741,13 @@ yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS)) endif $(PROGRAM_PREFIX)yosys$(EXE): $(OBJS) - $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LDFLAGS) $(LDFLAGS) $(OBJS) $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LINKFLAGS) $(LINKFLAGS) $(OBJS) $(LIBS) $(LIBS_VERIFIC) libyosys.so: $(filter-out kernel/driver.o,$(OBJS)) ifeq ($(OS), Darwin) - $(P) $(CXX) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LINKFLAGS) $^ $(LIBS) $(LIBS_VERIFIC) else - $(P) $(CXX) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LINKFLAGS) $^ $(LIBS) $(LIBS_VERIFIC) endif %.o: %.cc @@ -777,15 +777,15 @@ kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile ifeq ($(ENABLE_VERIFIC),1) CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) -LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) +LIBS_NOVERIFIC = $(foreach v,$(LIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) else CXXFLAGS_NOVERIFIC = $(CXXFLAGS) -LDLIBS_NOVERIFIC = $(LDLIBS) +LIBS_NOVERIFIC = $(LIBS) endif $(PROGRAM_PREFIX)yosys-config: misc/yosys-config.in $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -Ilibs/dlfcn-win32,,$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC))))#;' \ - -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC) $(PLUGIN_LDLIBS))#;' \ + -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LINKFLAGS@#$(strip $(LINKFLAGS) $(PLUGIN_LINKFLAGS))#;' -e 's#@LIBS@#$(strip $(LIBS_NOVERIFIC) $(PLUGIN_LIBS))#;' \ -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > $(PROGRAM_PREFIX)yosys-config $(Q) chmod +x $(PROGRAM_PREFIX)yosys-config @@ -924,7 +924,7 @@ ystests: $(TARGETS) $(EXTRA_TARGETS) # Unit test unit-test: libyosys.so @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \ - CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)" + CXXFLAGS="$(CXXFLAGS)" LIBS="$(LIBS)" ROOTPATH="$(CURDIR)" clean-unit-test: @$(MAKE) -C $(UNITESTPATH) clean From 4a2fb187188ad25d557df43850372dc798dcff69 Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 17:23:56 +0100 Subject: [PATCH 149/159] Changes in libs, passes and tests Makefiles. LDLIBS -> LIBS. LDFLAGS -> LINKFLAGS. CXX is clang++ or g++, not clang and gcc --- libs/ezsat/Makefile | 5 ++--- libs/subcircuit/Makefile | 11 +++++------ passes/techmap/Makefile.inc | 2 +- tests/unit/Makefile | 2 +- 4 files changed, 9 insertions(+), 11 deletions(-) diff --git a/libs/ezsat/Makefile b/libs/ezsat/Makefile index b1f864160..c41038dc9 100644 --- a/libs/ezsat/Makefile +++ b/libs/ezsat/Makefile @@ -1,9 +1,9 @@ CC = clang -CXX = clang +CXX = clang++ CXXFLAGS = -MD -Wall -Wextra -ggdb CXXFLAGS += -std=c++11 -O0 -LDLIBS = ../minisat/Options.cc ../minisat/SimpSolver.cc ../minisat/Solver.cc ../minisat/System.cc -lm -lstdc++ +LIBS = ../minisat/Options.cc ../minisat/SimpSolver.cc ../minisat/Solver.cc ../minisat/System.cc -lm -lstdc++ all: demo_vec demo_bit demo_cmp testbench puzzle3d @@ -27,4 +27,3 @@ clean: .PHONY: all test clean -include *.d - diff --git a/libs/subcircuit/Makefile b/libs/subcircuit/Makefile index f81085b5b..3d93ad0a2 100644 --- a/libs/subcircuit/Makefile +++ b/libs/subcircuit/Makefile @@ -5,9 +5,9 @@ CONFIG := clang-debug # CONFIG := release CC = clang -CXX = clang +CXX = clang++ CXXFLAGS = -MD -Wall -Wextra -ggdb -LDLIBS = -lstdc++ +LIBS = -lstdc++ ifeq ($(CONFIG),clang-debug) CXXFLAGS += -std=c++11 -O0 @@ -15,19 +15,19 @@ endif ifeq ($(CONFIG),gcc-debug) CC = gcc -CXX = gcc +CXX = g++ CXXFLAGS += -std=gnu++0x -O0 endif ifeq ($(CONFIG),profile) CC = gcc -CXX = gcc +CXX = g++ CXXFLAGS += -std=gnu++0x -Os -DNDEBUG endif ifeq ($(CONFIG),release) CC = gcc -CXX = gcc +CXX = g++ CXXFLAGS += -std=gnu++0x -march=native -O3 -DNDEBUG endif @@ -50,4 +50,3 @@ clean: .PHONY: all test clean -include *.d - diff --git a/passes/techmap/Makefile.inc b/passes/techmap/Makefile.inc index ed2f1c0bf..9d57e3d71 100644 --- a/passes/techmap/Makefile.inc +++ b/passes/techmap/Makefile.inc @@ -56,5 +56,5 @@ EXTRA_OBJS += passes/techmap/filterlib.o $(PROGRAM_PREFIX)yosys-filterlib$(EXE): passes/techmap/filterlib.o $(Q) mkdir -p $(dir $@) - $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys-filterlib$(EXE) $(LDFLAGS) $^ $(LDLIBS) + $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys-filterlib$(EXE) $(LINKFLAGS) $^ $(LIBS) endif diff --git a/tests/unit/Makefile b/tests/unit/Makefile index 9f1e5c99e..b4a752f8f 100644 --- a/tests/unit/Makefile +++ b/tests/unit/Makefile @@ -15,7 +15,7 @@ TESTS := $(addprefix $(BINTEST)/, $(basename $(ALLTESTFILE:%Test.cc=%Test.o))) all: prepare $(TESTS) run-tests $(BINTEST)/%: $(OBJTEST)/%.o - $(CXX) -L$(ROOTPATH) $(RPATH)=$(ROOTPATH) -o $@ $^ $(LDLIBS) \ + $(CXX) -L$(ROOTPATH) $(RPATH)=$(ROOTPATH) -o $@ $^ $(LIBS) \ $(GTESTFLAG) $(EXTRAFLAGS) $(OBJTEST)/%.o: $(basename $(subst $(OBJTEST),.,%)).cc From cf7b6c66f0d2a4b43f2d2d0ac64872969d128dc1 Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 18:35:43 +0100 Subject: [PATCH 150/159] Changes in misc/yosys-config.in. LDLIBS -> LIBS. LDFLAGS -> LINKFLAGS. --- misc/yosys-config.in | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) mode change 100644 => 100755 misc/yosys-config.in diff --git a/misc/yosys-config.in b/misc/yosys-config.in old mode 100644 new mode 100755 index f0f0f7552..04d391dbe --- a/misc/yosys-config.in +++ b/misc/yosys-config.in @@ -9,8 +9,8 @@ help() { echo "Replacement args:" echo " --cxx @CXX@" echo " --cxxflags $( echo '@CXXFLAGS@' | fmt -w60 | sed ':a;N;$!ba;s/\n/ \\\n /g' )" - echo " --ldflags @LDFLAGS@" - echo " --ldlibs @LDLIBS@" + echo " --ldflags @LINKFLAGS@" + echo " --ldlibs @LIBS@" echo " --bindir @BINDIR@" echo " --datdir @DATDIR@" echo "" @@ -64,9 +64,9 @@ for opt; do "$prefix"cxxflags) tokens=( "${tokens[@]}" @CXXFLAGS@ ) ;; "$prefix"ldflags) - tokens=( "${tokens[@]}" @LDFLAGS@ ) ;; + tokens=( "${tokens[@]}" @LINKFLAGS@ ) ;; "$prefix"ldlibs) - tokens=( "${tokens[@]}" @LDLIBS@ ) ;; + tokens=( "${tokens[@]}" @LIBS@ ) ;; "$prefix"bindir) tokens=( "${tokens[@]}" '@BINDIR@' ) ;; "$prefix"datdir) @@ -104,4 +104,3 @@ fi echo "${tokens[@]}" exit 0 - From 5fa609b6bfb3689bad7e6f78d04a2f31b8160db2 Mon Sep 17 00:00:00 2001 From: Roland Coeurjoly Date: Sun, 25 Feb 2024 18:48:21 +0100 Subject: [PATCH 151/159] Fix help of yosys-config.in and provide backward compatibility --- misc/yosys-config.in | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/misc/yosys-config.in b/misc/yosys-config.in index 04d391dbe..dd42b7c87 100755 --- a/misc/yosys-config.in +++ b/misc/yosys-config.in @@ -9,8 +9,10 @@ help() { echo "Replacement args:" echo " --cxx @CXX@" echo " --cxxflags $( echo '@CXXFLAGS@' | fmt -w60 | sed ':a;N;$!ba;s/\n/ \\\n /g' )" - echo " --ldflags @LINKFLAGS@" - echo " --ldlibs @LIBS@" + echo " --linkflags @LINKFLAGS@" + echo " --ldflags (alias of --linkflags)" + echo " --libs @LIBS@" + echo " --ldlibs (alias of --libs)" echo " --bindir @BINDIR@" echo " --datdir @DATDIR@" echo "" @@ -18,7 +20,7 @@ help() { echo "" echo "Use --exec to call a command instead of generating output. Example usage:" echo "" - echo " $0 --exec --cxx --cxxflags --ldflags -o plugin.so -shared plugin.cc --ldlibs" + echo " $0 --exec --cxx --cxxflags --ldflags -o plugin.so -shared plugin.cc --libs" echo "" echo "The above command can be abbreviated as:" echo "" @@ -44,7 +46,7 @@ fi if [ "$1" == "--build" ]; then modname="$2"; shift 2 - set -- --exec --cxx --cxxflags --ldflags -o "$modname" -shared "$@" --ldlibs + set -- --exec --cxx --cxxflags --ldflags -o "$modname" -shared "$@" --libs fi prefix="--" @@ -63,6 +65,10 @@ for opt; do tokens=( "${tokens[@]}" @CXX@ ) ;; "$prefix"cxxflags) tokens=( "${tokens[@]}" @CXXFLAGS@ ) ;; + "$prefix"linkflags) + tokens=( "${tokens[@]}" @LINKFLAGS@ ) ;; + "$prefix"libs) + tokens=( "${tokens[@]}" @LIBS@ ) ;; "$prefix"ldflags) tokens=( "${tokens[@]}" @LINKFLAGS@ ) ;; "$prefix"ldlibs) From 569a6d7fea424eae1e0331d1a0cd0c0ff1e75cd6 Mon Sep 17 00:00:00 2001 From: Catherine Date: Mon, 26 Feb 2024 09:52:12 +0000 Subject: [PATCH 152/159] cxxrtl: make blackbox `commit()` possible to override. This fixes a regression introduced when commit observers were added. --- backends/cxxrtl/cxxrtl_backend.cc | 8 +++----- backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h | 6 ++++-- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/backends/cxxrtl/cxxrtl_backend.cc b/backends/cxxrtl/cxxrtl_backend.cc index c60b43d3f..865f0ec69 100644 --- a/backends/cxxrtl/cxxrtl_backend.cc +++ b/backends/cxxrtl/cxxrtl_backend.cc @@ -2511,14 +2511,13 @@ struct CxxrtlWorker { dump_eval_method(module); f << indent << "}\n"; f << "\n"; - f << indent << "template\n"; - f << indent << "bool commit(ObserverT &observer) {\n"; + f << indent << "virtual bool commit(observer &observer) {\n"; dump_commit_method(module); f << indent << "}\n"; f << "\n"; f << indent << "bool commit() override {\n"; f << indent << indent << "observer observer;\n"; - f << indent << indent << "return commit<>(observer);\n"; + f << indent << indent << "return commit(observer);\n"; f << indent << "}\n"; if (debug_info) { f << "\n"; @@ -3421,8 +3420,7 @@ struct CxxrtlBackend : public Backend { log(" wire<8> p_o_data;\n"); log("\n"); log(" bool eval(performer *performer) override;\n"); - log(" template\n"); - log(" bool commit(ObserverT &observer);\n"); + log(" virtual bool commit(observer &observer);\n"); log(" bool commit() override;\n"); log("\n"); log(" static std::unique_ptr\n"); diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h index b1cd9d9a1..00d938454 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h @@ -556,7 +556,7 @@ public: bool record_incremental(ModuleT &module) { assert(streaming); - struct { + struct : observer { std::unordered_map *ident_lookup; spool::writer *writer; @@ -569,7 +569,9 @@ public: void on_update(size_t chunks, const chunk_t *base, const chunk_t *value, size_t index) { writer->write_change(ident_lookup->at(base), chunks, value, index); } - } record_observer = { &ident_lookup, &writer }; + } record_observer; + record_observer.ident_lookup = &ident_lookup; + record_observer.writer = &writer; writer.write_sample(/*incremental=*/true, pointer++, timestamp); for (auto input_index : inputs) { From d903f47d41cad38ab80c74095ece198afb947ae7 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 18:35:15 +0000 Subject: [PATCH 153/159] write_cxxrtl: don't assert on `-noflatten` with `-g4`. --- backends/cxxrtl/cxxrtl_backend.cc | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/backends/cxxrtl/cxxrtl_backend.cc b/backends/cxxrtl/cxxrtl_backend.cc index 865f0ec69..1bd876bbc 100644 --- a/backends/cxxrtl/cxxrtl_backend.cc +++ b/backends/cxxrtl/cxxrtl_backend.cc @@ -1518,8 +1518,9 @@ struct CxxrtlWorker { } else if (is_internal_cell(cell->type)) { log_cmd_error("Unsupported internal cell `%s'.\n", cell->type.c_str()); // User cells + } else if (for_debug) { + // Outlines are called on demand when computing the value of a debug item. Nothing to do here. } else { - log_assert(!for_debug); log_assert(cell->known()); bool buffered_inputs = false; const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : "."; From 1a44645aeffac998204a05e6d12b34073f8d5ad4 Mon Sep 17 00:00:00 2001 From: Catherine Date: Tue, 13 Feb 2024 17:43:53 +0000 Subject: [PATCH 154/159] cxxrtl: expose scope information in the C++ API. This commit adds a `debug_scopes` container, which can collect metadata about scopes in a design. Currently the only scope is that of a module. A module scope can be represented either by a module and cell pair, or a `$scopeinfo` cell in a flattened netlist. The metadata produced by the C++ API is identical between these two cases, so flattening remains transparent to a netlist with CXXRTL. The existing `debug_items` method is deprecated. This isn't strictly necessary, but the user experience is better if the path is provided as e.g. `"top "` (as some VCD viewers make it awkward to select topmost anonymous scope), and the upgrade flow encourages that, which should reduce frustration later. While the new `debug_items` method could still be broken in the future as the C++ API permits, this seems unlikely since the debug information can now capture all common netlist aspects and includes several extension points (via `debug_item`, `debug_scope` types). Also, naming of scope paths was normalized to `path` or `top_path`, as applicable. --- backends/cxxrtl/cxxrtl_backend.cc | 314 ++++++++++-------- .../cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc | 12 +- .../cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h | 4 +- backends/cxxrtl/runtime/cxxrtl/cxxrtl.h | 70 +++- .../cxxrtl/runtime/cxxrtl/cxxrtl_replay.h | 9 +- 5 files changed, 247 insertions(+), 162 deletions(-) diff --git a/backends/cxxrtl/cxxrtl_backend.cc b/backends/cxxrtl/cxxrtl_backend.cc index 1bd876bbc..877a829d3 100644 --- a/backends/cxxrtl/cxxrtl_backend.cc +++ b/backends/cxxrtl/cxxrtl_backend.cc @@ -25,6 +25,7 @@ #include "kernel/mem.h" #include "kernel/log.h" #include "kernel/fmt.h" +#include "kernel/scopeinfo.h" USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN @@ -2311,11 +2312,14 @@ struct CxxrtlWorker { dict attributes = object->attributes; // Inherently necessary to get access to the object, so a waste of space to emit. attributes.erase(ID::hdlname); + // Internal Yosys attribute that should be removed but isn't. + attributes.erase(ID::module_not_derived); dump_metadata_map(attributes); } void dump_debug_info_method(RTLIL::Module *module) { + size_t count_scopes = 0; size_t count_public_wires = 0; size_t count_member_wires = 0; size_t count_undriven = 0; @@ -2328,153 +2332,188 @@ struct CxxrtlWorker { size_t count_skipped_wires = 0; inc_indent(); f << indent << "assert(path.empty() || path[path.size() - 1] == ' ');\n"; - for (auto wire : module->wires()) { - const auto &debug_wire_type = debug_wire_types[wire]; - if (!wire->name.isPublic()) - continue; - count_public_wires++; - switch (debug_wire_type.type) { - case WireType::BUFFERED: - case WireType::MEMBER: { - // Member wire - std::vector flags; - - if (wire->port_input && wire->port_output) - flags.push_back("INOUT"); - else if (wire->port_output) - flags.push_back("OUTPUT"); - else if (wire->port_input) - flags.push_back("INPUT"); - - bool has_driven_sync = false; - bool has_driven_comb = false; - bool has_undriven = false; - if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { - for (auto bit : SigSpec(wire)) - if (!bit_has_state.count(bit)) - has_undriven = true; - else if (bit_has_state[bit]) - has_driven_sync = true; - else - has_driven_comb = true; - } else if (wire->port_output) { - switch (cxxrtl_port_type(module, wire->name)) { - case CxxrtlPortType::SYNC: - has_driven_sync = true; - break; - case CxxrtlPortType::COMB: - has_driven_comb = true; - break; - case CxxrtlPortType::UNKNOWN: - has_driven_sync = has_driven_comb = true; - break; - } - } else { - has_undriven = true; - } - if (has_undriven) - flags.push_back("UNDRIVEN"); - if (!has_driven_sync && !has_driven_comb && has_undriven) - count_undriven++; - if (has_driven_sync) - flags.push_back("DRIVEN_SYNC"); - if (has_driven_sync && !has_driven_comb && !has_undriven) - count_driven_sync++; - if (has_driven_comb) - flags.push_back("DRIVEN_COMB"); - if (!has_driven_sync && has_driven_comb && !has_undriven) - count_driven_comb++; - if (has_driven_sync + has_driven_comb + has_undriven > 1) - count_mixed_driver++; - - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(" << mangle(wire) << ", " << wire->start_offset; - bool first = true; - for (auto flag : flags) { - if (first) { - first = false; - f << ", "; - } else { - f << "|"; - } - f << "debug_item::" << flag; - } - f << "), "; - dump_debug_attrs(wire); + f << indent << "if (scopes) {\n"; + inc_indent(); + // The module is responsible for adding its own scope. + f << indent << "scopes->add(path.empty() ? path : path.substr(0, path.size() - 1), "; + f << escape_cxx_string(get_hdl_name(module)) << ", "; + dump_debug_attrs(module); + f << ", std::move(cell_attrs));\n"; + count_scopes++; + // If there were any submodules that were flattened, the module is also responsible for adding them. + for (auto cell : module->cells()) { + if (cell->type != ID($scopeinfo)) continue; + if (cell->getParam(ID::TYPE).decode_string() == "module") { + auto module_attrs = scopeinfo_attributes(cell, ScopeinfoAttrs::Module); + auto cell_attrs = scopeinfo_attributes(cell, ScopeinfoAttrs::Cell); + cell_attrs.erase(ID::module_not_derived); + f << indent << "scopes->add(path + " << escape_cxx_string(get_hdl_name(cell)) << ", "; + f << escape_cxx_string(cell->get_string_attribute(ID(module))) << ", "; + dump_metadata_map(module_attrs); + f << ", "; + dump_metadata_map(cell_attrs); f << ");\n"; - count_member_wires++; - break; - } - case WireType::ALIAS: { - // Alias of a member wire - const RTLIL::Wire *aliasee = debug_wire_type.sig_subst.as_wire(); - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item("; - // If the aliasee is an outline, then the alias must be an outline, too; otherwise downstream - // tooling has no way to find out about the outline. - if (debug_wire_types[aliasee].is_outline()) - f << "debug_eval_outline"; - else - f << "debug_alias()"; - f << ", " << mangle(aliasee) << ", " << wire->start_offset << "), "; - dump_debug_attrs(aliasee); - f << ");\n"; - count_alias_wires++; - break; - } - case WireType::CONST: { - // Wire tied to a constant - f << indent << "static const value<" << wire->width << "> const_" << mangle(wire) << " = "; - dump_const(debug_wire_type.sig_subst.as_const()); - f << ";\n"; - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(const_" << mangle(wire) << ", " << wire->start_offset << "), "; - dump_debug_attrs(wire); - f << ");\n"; - count_const_wires++; - break; - } - case WireType::OUTLINE: { - // Localized or inlined, but rematerializable wire - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(debug_eval_outline, " << mangle(wire) << ", " << wire->start_offset << "), "; - dump_debug_attrs(wire); - f << ");\n"; - count_inline_wires++; - break; - } - default: { - // Localized or inlined wire with no debug information - count_skipped_wires++; - break; - } + } else log_assert(false && "Unknown $scopeinfo type"); + count_scopes++; } - } - if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { - for (auto &mem : mod_memories[module]) { - if (!mem.memid.isPublic()) + dec_indent(); + f << indent << "}\n"; + f << indent << "if (items) {\n"; + inc_indent(); + for (auto wire : module->wires()) { + const auto &debug_wire_type = debug_wire_types[wire]; + if (!wire->name.isPublic()) continue; - f << indent << "items.add(path + " << escape_cxx_string(mem.packed ? get_hdl_name(mem.cell) : get_hdl_name(mem.mem)); - f << ", debug_item(" << mangle(&mem) << ", "; - f << mem.start_offset << "), "; - if (mem.packed) { - dump_debug_attrs(mem.cell); - } else { - dump_debug_attrs(mem.mem); + count_public_wires++; + switch (debug_wire_type.type) { + case WireType::BUFFERED: + case WireType::MEMBER: { + // Member wire + std::vector flags; + + if (wire->port_input && wire->port_output) + flags.push_back("INOUT"); + else if (wire->port_output) + flags.push_back("OUTPUT"); + else if (wire->port_input) + flags.push_back("INPUT"); + + bool has_driven_sync = false; + bool has_driven_comb = false; + bool has_undriven = false; + if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { + for (auto bit : SigSpec(wire)) + if (!bit_has_state.count(bit)) + has_undriven = true; + else if (bit_has_state[bit]) + has_driven_sync = true; + else + has_driven_comb = true; + } else if (wire->port_output) { + switch (cxxrtl_port_type(module, wire->name)) { + case CxxrtlPortType::SYNC: + has_driven_sync = true; + break; + case CxxrtlPortType::COMB: + has_driven_comb = true; + break; + case CxxrtlPortType::UNKNOWN: + has_driven_sync = has_driven_comb = true; + break; + } + } else { + has_undriven = true; + } + if (has_undriven) + flags.push_back("UNDRIVEN"); + if (!has_driven_sync && !has_driven_comb && has_undriven) + count_undriven++; + if (has_driven_sync) + flags.push_back("DRIVEN_SYNC"); + if (has_driven_sync && !has_driven_comb && !has_undriven) + count_driven_sync++; + if (has_driven_comb) + flags.push_back("DRIVEN_COMB"); + if (!has_driven_sync && has_driven_comb && !has_undriven) + count_driven_comb++; + if (has_driven_sync + has_driven_comb + has_undriven > 1) + count_mixed_driver++; + + f << indent << "items->add(path + " << escape_cxx_string(get_hdl_name(wire)); + f << ", debug_item(" << mangle(wire) << ", " << wire->start_offset; + bool first = true; + for (auto flag : flags) { + if (first) { + first = false; + f << ", "; + } else { + f << "|"; + } + f << "debug_item::" << flag; + } + f << "), "; + dump_debug_attrs(wire); + f << ");\n"; + count_member_wires++; + break; + } + case WireType::ALIAS: { + // Alias of a member wire + const RTLIL::Wire *aliasee = debug_wire_type.sig_subst.as_wire(); + f << indent << "items->add(path + " << escape_cxx_string(get_hdl_name(wire)); + f << ", debug_item("; + // If the aliasee is an outline, then the alias must be an outline, too; otherwise downstream + // tooling has no way to find out about the outline. + if (debug_wire_types[aliasee].is_outline()) + f << "debug_eval_outline"; + else + f << "debug_alias()"; + f << ", " << mangle(aliasee) << ", " << wire->start_offset << "), "; + dump_debug_attrs(aliasee); + f << ");\n"; + count_alias_wires++; + break; + } + case WireType::CONST: { + // Wire tied to a constant + f << indent << "static const value<" << wire->width << "> const_" << mangle(wire) << " = "; + dump_const(debug_wire_type.sig_subst.as_const()); + f << ";\n"; + f << indent << "items->add(path + " << escape_cxx_string(get_hdl_name(wire)); + f << ", debug_item(const_" << mangle(wire) << ", " << wire->start_offset << "), "; + dump_debug_attrs(wire); + f << ");\n"; + count_const_wires++; + break; + } + case WireType::OUTLINE: { + // Localized or inlined, but rematerializable wire + f << indent << "items->add(path + " << escape_cxx_string(get_hdl_name(wire)); + f << ", debug_item(debug_eval_outline, " << mangle(wire) << ", " << wire->start_offset << "), "; + dump_debug_attrs(wire); + f << ");\n"; + count_inline_wires++; + break; + } + default: { + // Localized or inlined wire with no debug information + count_skipped_wires++; + break; + } } - f << ");\n"; } + if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { + for (auto &mem : mod_memories[module]) { + if (!mem.memid.isPublic()) + continue; + f << indent << "items->add(path + " << escape_cxx_string(mem.packed ? get_hdl_name(mem.cell) : get_hdl_name(mem.mem)); + f << ", debug_item(" << mangle(&mem) << ", "; + f << mem.start_offset << "), "; + if (mem.packed) { + dump_debug_attrs(mem.cell); + } else { + dump_debug_attrs(mem.mem); + } + f << ");\n"; + } + } + dec_indent(); + f << indent << "}\n"; + if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { for (auto cell : module->cells()) { if (is_internal_cell(cell->type)) continue; const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : "."; - f << indent << mangle(cell) << access << "debug_info(items, "; - f << "path + " << escape_cxx_string(get_hdl_name(cell) + ' ') << ");\n"; + f << indent << mangle(cell) << access; + f << "debug_info(items, scopes, path + " << escape_cxx_string(get_hdl_name(cell) + ' ') << ", "; + dump_debug_attrs(cell); + f << ");\n"; } } dec_indent(); log_debug("Debug information statistics for module `%s':\n", log_id(module)); + log_debug(" Scopes: %zu", count_scopes); log_debug(" Public wires: %zu, of which:\n", count_public_wires); log_debug(" Member wires: %zu, of which:\n", count_member_wires); log_debug(" Undriven: %zu (incl. inputs)\n", count_undriven); @@ -2522,7 +2561,8 @@ struct CxxrtlWorker { f << indent << "}\n"; if (debug_info) { f << "\n"; - f << indent << "void debug_info(debug_items &items, std::string path = \"\") override {\n"; + f << indent << "void debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs = {}) override {\n"; dump_debug_info_method(module); f << indent << "}\n"; } @@ -2631,7 +2671,8 @@ struct CxxrtlWorker { } } f << "\n"; - f << indent << "void debug_info(debug_items &items, std::string path = \"\") override;\n"; + f << indent << "void debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs = {}) override;\n"; } dec_indent(); f << indent << "}; // struct " << mangle(module) << "\n"; @@ -2659,7 +2700,8 @@ struct CxxrtlWorker { } f << "\n"; f << indent << "CXXRTL_EXTREMELY_COLD\n"; - f << indent << "void " << mangle(module) << "::debug_info(debug_items &items, std::string path) {\n"; + f << indent << "void " << mangle(module) << "::debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs) {\n"; dump_debug_info_method(module); f << indent << "}\n"; } diff --git a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc index 593e067a1..3c62401dd 100644 --- a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc +++ b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc @@ -35,19 +35,19 @@ cxxrtl_handle cxxrtl_create(cxxrtl_toplevel design) { return cxxrtl_create_at(design, ""); } -cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *root) { - std::string path = root; - if (!path.empty()) { +cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *top_path_) { + std::string top_path = top_path_; + if (!top_path.empty()) { // module::debug_info() accepts either an empty path, or a path ending in space to simplify // the logic in generated code. While this is sketchy at best to expose in the C++ API, this // would be a lot worse in the C API, so don't expose it here. - assert(path.back() != ' '); - path += ' '; + assert(top_path.back() != ' '); + top_path += ' '; } cxxrtl_handle handle = new _cxxrtl_handle; handle->module = std::move(design->module); - handle->module->debug_info(handle->objects, path); + handle->module->debug_info(handle->objects, top_path); delete design; return handle; } diff --git a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h index c2a9d37e1..ae42733ad 100644 --- a/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h +++ b/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h @@ -55,8 +55,8 @@ cxxrtl_handle cxxrtl_create(cxxrtl_toplevel design); // Create a design handle at a given hierarchy position from a design toplevel. // // This operation is similar to `cxxrtl_create`, except the full hierarchical name of every object -// is prepended with `root`. -cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *root); +// is prepended with `top_path`. +cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *top_path); // Release all resources used by a design and its handle. void cxxrtl_destroy(cxxrtl_handle handle); diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index f9d22ff9b..b834cd120 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -1331,14 +1331,15 @@ struct debug_items { std::map> table; std::map> attrs_table; - void add(const std::string &name, debug_item &&item, metadata_map &&item_attrs = {}) { - std::unique_ptr &attrs = attrs_table[name]; + void add(const std::string &path, debug_item &&item, metadata_map &&item_attrs = {}) { + assert((path.empty() || path[path.size() - 1] != ' ') && path.find(" ") == std::string::npos); + std::unique_ptr &attrs = attrs_table[path]; if (attrs.get() == nullptr) attrs = std::unique_ptr(new debug_attrs); for (auto attr : item_attrs) attrs->map.insert(attr); item.attrs = attrs.get(); - std::vector &parts = table[name]; + std::vector &parts = table[path]; parts.emplace_back(item); std::sort(parts.begin(), parts.end(), [](const debug_item &a, const debug_item &b) { @@ -1346,25 +1347,58 @@ struct debug_items { }); } - size_t count(const std::string &name) const { - if (table.count(name) == 0) + size_t count(const std::string &path) const { + if (table.count(path) == 0) return 0; - return table.at(name).size(); + return table.at(path).size(); } - const std::vector &at(const std::string &name) const { - return table.at(name); + const std::vector &at(const std::string &path) const { + return table.at(path); } // Like `at()`, but operates only on single-part debug items. - const debug_item &operator [](const std::string &name) const { - const std::vector &parts = table.at(name); + const debug_item &operator [](const std::string &path) const { + const std::vector &parts = table.at(path); assert(parts.size() == 1); return parts.at(0); } - const metadata_map &attrs(const std::string &name) const { - return attrs_table.at(name)->map; + bool is_memory(const std::string &path) const { + return at(path).at(0).type == debug_item::MEMORY; + } + + const metadata_map &attrs(const std::string &path) const { + return attrs_table.at(path)->map; + } +}; + +// Only `module` scopes are defined. The type is implicit, since Yosys does not currently support +// any other scope types. +struct debug_scope { + std::string module_name; + std::unique_ptr module_attrs; + std::unique_ptr cell_attrs; +}; + +struct debug_scopes { + std::map table; + + void add(const std::string &path, const std::string &module_name, metadata_map &&module_attrs, metadata_map &&cell_attrs) { + assert((path.empty() || path[path.size() - 1] != ' ') && path.find(" ") == std::string::npos); + assert(table.count(path) == 0); + debug_scope &scope = table[path]; + scope.module_name = module_name; + scope.module_attrs = std::unique_ptr(new debug_attrs { module_attrs }); + scope.cell_attrs = std::unique_ptr(new debug_attrs { cell_attrs }); + } + + size_t contains(const std::string &path) const { + return table.count(path); + } + + const debug_scope &operator [](const std::string &path) const { + return table.at(path); } }; @@ -1412,8 +1446,16 @@ struct module { return deltas; } - virtual void debug_info(debug_items &items, std::string path = "") { - (void)items, (void)path; + virtual void debug_info(debug_items *items, debug_scopes *scopes, std::string path, metadata_map &&cell_attrs = {}) { + (void)items, (void)scopes, (void)path, (void)cell_attrs; + } + + // Compatibility method. +#if __has_attribute(deprecated) + __attribute__((deprecated("Use `debug_info(path, &items, /*scopes=*/nullptr);` instead. (`path` could be \"top \".)"))) +#endif + void debug_info(debug_items &items, std::string path) { + debug_info(&items, /*scopes=*/nullptr, path); } }; diff --git a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h index 00d938454..454895a1f 100644 --- a/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h +++ b/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h @@ -491,9 +491,9 @@ public: template recorder(Args &&...args) : writer(std::forward(args)...) {} - void start(module &module) { + void start(module &module, std::string top_path = "") { debug_items items; - module.debug_info(items); + module.debug_info(&items, /*scopes=*/nullptr, top_path); start(items); } @@ -621,9 +621,10 @@ public: template player(Args &&...args) : reader(std::forward(args)...) {} - void start(module &module) { + // The `top_path` must match the one given to the recorder. + void start(module &module, std::string top_path = "") { debug_items items; - module.debug_info(items); + module.debug_info(&items, /*scopes=*/nullptr, top_path); start(items); } From dd11a5a37c8f000dadddb5ea778289408f43dd0f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Povi=C5=A1er?= Date: Mon, 26 Feb 2024 16:25:46 +0100 Subject: [PATCH 155/159] Shrink further --- kernel/yosys.cc | 2 -- 1 file changed, 2 deletions(-) diff --git a/kernel/yosys.cc b/kernel/yosys.cc index 444f597ca..20f9791c6 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -142,9 +142,7 @@ void yosys_banner() log(" | Copyright (C) 2012 - 2024 Claire Xenia Wolf |\n"); log(" | Distributed under an ISC-like license, type \"license\" to see terms |\n"); log(" \\----------------------------------------------------------------------------/\n"); - log("\n"); log(" %s\n", yosys_version_str); - log("\n"); } int ceil_log2(int x) From da2e9386f02913fb8f15618f790242973ceed809 Mon Sep 17 00:00:00 2001 From: Catherine Date: Mon, 26 Feb 2024 16:06:25 +0000 Subject: [PATCH 156/159] cxxrtl: install `cxxrtl_time.h` and `cxxrtl_replay.h`. --- Makefile | 6 ------ backends/cxxrtl/Makefile.inc | 9 +++++++++ 2 files changed, 9 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index e55388159..8fdaa0ee7 100644 --- a/Makefile +++ b/Makefile @@ -637,12 +637,6 @@ $(eval $(call add_include_file,frontends/ast/ast.h)) $(eval $(call add_include_file,frontends/ast/ast_binding.h)) $(eval $(call add_include_file,frontends/blif/blifparse.h)) $(eval $(call add_include_file,backends/rtlil/rtlil_backend.h)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl.h)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc)) -$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h)) OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o OBJS += kernel/binding.o diff --git a/backends/cxxrtl/Makefile.inc b/backends/cxxrtl/Makefile.inc index aaa304502..dd77d2ad3 100644 --- a/backends/cxxrtl/Makefile.inc +++ b/backends/cxxrtl/Makefile.inc @@ -1,2 +1,11 @@ OBJS += backends/cxxrtl/cxxrtl_backend.o + +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h)) From 91fbd58980e87ad5dc0a5d37c049ffaf5ab243dd Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 27 Feb 2024 00:15:58 +0000 Subject: [PATCH 157/159] Bump version --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 8fdaa0ee7..c4b46cc13 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LIBS += -lrt endif endif -YOSYS_VER := 0.38+92 +YOSYS_VER := 0.38+113 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo From a02d4e78531550b4ff658aa6fff8806f05715330 Mon Sep 17 00:00:00 2001 From: Jason Thorpe Date: Sun, 3 Mar 2024 07:54:39 -0800 Subject: [PATCH 158/159] Tweak the FreeBSD version of proc_self_dirname() to work on NetBSD use it. --- kernel/yosys.cc | 8 ++++++-- 1 file changed, 6 insertions(+), 2 deletions(-) diff --git a/kernel/yosys.cc b/kernel/yosys.cc index 20f9791c6..57433d0d9 100644 --- a/kernel/yosys.cc +++ b/kernel/yosys.cc @@ -55,7 +55,7 @@ # include #endif -#ifdef __FreeBSD__ +#if defined(__FreeBSD__) || defined(__NetBSD__) # include #endif @@ -901,10 +901,14 @@ std::string proc_self_dirname() buflen--; return std::string(path, buflen); } -#elif defined(__FreeBSD__) +#elif defined(__FreeBSD__) || defined(__NetBSD__) std::string proc_self_dirname() { +#ifdef __NetBSD__ + int mib[4] = {CTL_KERN, KERN_PROC_ARGS, getpid(), KERN_PROC_PATHNAME}; +#else int mib[4] = {CTL_KERN, KERN_PROC, KERN_PROC_PATHNAME, -1}; +#endif size_t buflen; char *buffer; std::string path; From 9b47f3204ee349650d3cb9fb894efe9d9b9cea48 Mon Sep 17 00:00:00 2001 From: Krystine Sherwin <93062060+KrystalDelusion@users.noreply.github.com> Date: Mon, 4 Mar 2024 12:28:41 +1300 Subject: [PATCH 159/159] Makefile: Fix emcc build Remove deprecated (and unnecessary?) `--memory-init-file 0` from `EMCC_LINKFLAGS`. --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index c4b46cc13..9e9cc7116 100644 --- a/Makefile +++ b/Makefile @@ -267,7 +267,7 @@ CXX = emcc CXXFLAGS := -std=$(CXXSTD) $(filter-out -fPIC -ggdb,$(CXXFLAGS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8 -Wno-c++11-narrowing" EMCC_CXXFLAGS := -Os -Wno-warn-absolute-paths -EMCC_LINKFLAGS := --memory-init-file 0 --embed-file share +EMCC_LINKFLAGS := --embed-file share EMCC_LINKFLAGS += -s NO_EXIT_RUNTIME=1 EMCC_LINKFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']" EMCC_LINKFLAGS += -s TOTAL_MEMORY=134217728