mirror of
				https://github.com/YosysHQ/yosys
				synced 2025-10-30 11:12:29 +00:00 
			
		
		
		
	Common memory test now shared
This commit is contained in:
		
							parent
							
								
									477702b8c9
								
							
						
					
					
						commit
						12383f37b2
					
				
					 10 changed files with 5 additions and 89 deletions
				
			
		|  | @ -1,21 +0,0 @@ | |||
| module top | ||||
| ( | ||||
| 	input [7:0] data_a, | ||||
| 	input [8:1] addr_a, | ||||
| 	input we_a, clk, | ||||
| 	output reg [7:0] q_a | ||||
| ); | ||||
| 	// Declare the RAM variable | ||||
| 	reg [7:0] ram[63:0]; | ||||
| 
 | ||||
| 	// Port A | ||||
| 	always @ (posedge clk) | ||||
| 	begin | ||||
| 		if (we_a) | ||||
| 		begin | ||||
| 			ram[addr_a] <= data_a; | ||||
| 			q_a <= data_a; | ||||
| 		end | ||||
| 		q_a <= ram[addr_a]; | ||||
| 	end | ||||
| endmodule | ||||
|  | @ -1,4 +1,4 @@ | |||
| read_verilog memory.v | ||||
| read_verilog ../common/memory.v | ||||
| hierarchy -top top | ||||
| proc | ||||
| memory -nomap | ||||
|  |  | |||
		Loading…
	
	Add table
		Add a link
		
	
		Reference in a new issue