mirror of
https://github.com/YosysHQ/yosys
synced 2025-12-16 17:29:03 +00:00
Merge branch 'SergeyDegtyar/ecp5' of https://github.com/SergeyDegtyar/yosys into eddie/pr1352
This commit is contained in:
commit
0bbd1b6364
37 changed files with 801 additions and 0 deletions
1
Makefile
1
Makefile
|
|
@ -714,6 +714,7 @@ test: $(TARGETS) $(EXTRA_TARGETS)
|
|||
+cd tests/arch && bash run-test.sh
|
||||
+cd tests/ice40 && bash run-test.sh $(SEEDOPT)
|
||||
+cd tests/rpc && bash run-test.sh
|
||||
+cd tests/ecp5 && bash run-test.sh $(SEEDOPT)
|
||||
@echo ""
|
||||
@echo " Passed \"make test\"."
|
||||
@echo ""
|
||||
|
|
|
|||
2
tests/ecp5/.gitignore
vendored
Normal file
2
tests/ecp5/.gitignore
vendored
Normal file
|
|
@ -0,0 +1,2 @@
|
|||
*.log
|
||||
/run-test.mk
|
||||
13
tests/ecp5/add_sub.v
Normal file
13
tests/ecp5/add_sub.v
Normal file
|
|
@ -0,0 +1,13 @@
|
|||
module top
|
||||
(
|
||||
input [3:0] x,
|
||||
input [3:0] y,
|
||||
|
||||
output [3:0] A,
|
||||
output [3:0] B
|
||||
);
|
||||
|
||||
assign A = x + y;
|
||||
assign B = x - y;
|
||||
|
||||
endmodule
|
||||
8
tests/ecp5/add_sub.ys
Normal file
8
tests/ecp5/add_sub.ys
Normal file
|
|
@ -0,0 +1,8 @@
|
|||
read_verilog add_sub.v
|
||||
hierarchy -top top
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 10 t:LUT4
|
||||
select -assert-none t:LUT4 %% t:* %D
|
||||
|
||||
87
tests/ecp5/adffs.v
Normal file
87
tests/ecp5/adffs.v
Normal file
|
|
@ -0,0 +1,87 @@
|
|||
module adff
|
||||
( input d, clk, clr, output reg q );
|
||||
initial begin
|
||||
q = 0;
|
||||
end
|
||||
always @( posedge clk, posedge clr )
|
||||
if ( clr )
|
||||
q <= 1'b0;
|
||||
else
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module adffn
|
||||
( input d, clk, clr, output reg q );
|
||||
initial begin
|
||||
q = 0;
|
||||
end
|
||||
always @( posedge clk, negedge clr )
|
||||
if ( !clr )
|
||||
q <= 1'b0;
|
||||
else
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module dffs
|
||||
( input d, clk, pre, clr, output reg q );
|
||||
initial begin
|
||||
q = 0;
|
||||
end
|
||||
always @( posedge clk )
|
||||
if ( pre )
|
||||
q <= 1'b1;
|
||||
else
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module ndffnr
|
||||
( input d, clk, pre, clr, output reg q );
|
||||
initial begin
|
||||
q = 0;
|
||||
end
|
||||
always @( negedge clk )
|
||||
if ( !clr )
|
||||
q <= 1'b0;
|
||||
else
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module top (
|
||||
input clk,
|
||||
input clr,
|
||||
input pre,
|
||||
input a,
|
||||
output b,b1,b2,b3
|
||||
);
|
||||
|
||||
dffs u_dffs (
|
||||
.clk (clk ),
|
||||
.clr (clr),
|
||||
.pre (pre),
|
||||
.d (a ),
|
||||
.q (b )
|
||||
);
|
||||
|
||||
ndffnr u_ndffnr (
|
||||
.clk (clk ),
|
||||
.clr (clr),
|
||||
.pre (pre),
|
||||
.d (a ),
|
||||
.q (b1 )
|
||||
);
|
||||
|
||||
adff u_adff (
|
||||
.clk (clk ),
|
||||
.clr (clr),
|
||||
.d (a ),
|
||||
.q (b2 )
|
||||
);
|
||||
|
||||
adffn u_adffn (
|
||||
.clk (clk ),
|
||||
.clr (clr),
|
||||
.d (a ),
|
||||
.q (b3 )
|
||||
);
|
||||
|
||||
endmodule
|
||||
9
tests/ecp5/adffs.ys
Normal file
9
tests/ecp5/adffs.ys
Normal file
|
|
@ -0,0 +1,9 @@
|
|||
read_verilog adffs.v
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -multiclock -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 4 t:TRELLIS_FF
|
||||
select -assert-count 3 t:LUT4
|
||||
select -assert-none t:TRELLIS_FF t:LUT4 %% t:* %D
|
||||
19
tests/ecp5/alu.v
Normal file
19
tests/ecp5/alu.v
Normal file
|
|
@ -0,0 +1,19 @@
|
|||
module top (
|
||||
input clock,
|
||||
input [31:0] dinA, dinB,
|
||||
input [2:0] opcode,
|
||||
output reg [31:0] dout
|
||||
);
|
||||
always @(posedge clock) begin
|
||||
case (opcode)
|
||||
0: dout <= dinA + dinB;
|
||||
1: dout <= dinA - dinB;
|
||||
2: dout <= dinA >> dinB;
|
||||
3: dout <= $signed(dinA) >>> dinB;
|
||||
4: dout <= dinA << dinB;
|
||||
5: dout <= dinA & dinB;
|
||||
6: dout <= dinA | dinB;
|
||||
7: dout <= dinA ^ dinB;
|
||||
endcase
|
||||
end
|
||||
endmodule
|
||||
13
tests/ecp5/alu.ys
Normal file
13
tests/ecp5/alu.ys
Normal file
|
|
@ -0,0 +1,13 @@
|
|||
read_verilog alu.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 32 t:CCU2C
|
||||
select -assert-count 253 t:L6MUX21
|
||||
select -assert-count 1150 t:LUT4
|
||||
select -assert-count 423 t:PFUMX
|
||||
select -assert-count 32 t:TRELLIS_FF
|
||||
select -assert-none t:CCU2C t:L6MUX21 t:LUT4 t:PFUMX t:TRELLIS_FF %% t:* %D
|
||||
17
tests/ecp5/counter.v
Normal file
17
tests/ecp5/counter.v
Normal file
|
|
@ -0,0 +1,17 @@
|
|||
module top (
|
||||
out,
|
||||
clk,
|
||||
reset
|
||||
);
|
||||
output [7:0] out;
|
||||
input clk, reset;
|
||||
reg [7:0] out;
|
||||
|
||||
always @(posedge clk, posedge reset)
|
||||
if (reset) begin
|
||||
out <= 8'b0 ;
|
||||
end else
|
||||
out <= out + 1;
|
||||
|
||||
|
||||
endmodule
|
||||
10
tests/ecp5/counter.ys
Normal file
10
tests/ecp5/counter.ys
Normal file
|
|
@ -0,0 +1,10 @@
|
|||
read_verilog counter.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 4 t:CCU2C
|
||||
select -assert-count 8 t:TRELLIS_FF
|
||||
select -assert-none t:CCU2C t:TRELLIS_FF %% t:* %D
|
||||
37
tests/ecp5/dffs.v
Normal file
37
tests/ecp5/dffs.v
Normal file
|
|
@ -0,0 +1,37 @@
|
|||
module dff
|
||||
( input d, clk, output reg q );
|
||||
always @( posedge clk )
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module dffe
|
||||
( input d, clk, en, output reg q );
|
||||
initial begin
|
||||
q = 0;
|
||||
end
|
||||
always @( posedge clk )
|
||||
if ( en )
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module top (
|
||||
input clk,
|
||||
input en,
|
||||
input a,
|
||||
output b,b1,
|
||||
);
|
||||
|
||||
dff u_dff (
|
||||
.clk (clk ),
|
||||
.d (a ),
|
||||
.q (b )
|
||||
);
|
||||
|
||||
dffe u_ndffe (
|
||||
.clk (clk ),
|
||||
.en (en),
|
||||
.d (a ),
|
||||
.q (b1 )
|
||||
);
|
||||
|
||||
endmodule
|
||||
9
tests/ecp5/dffs.ys
Normal file
9
tests/ecp5/dffs.ys
Normal file
|
|
@ -0,0 +1,9 @@
|
|||
read_verilog dffs.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 2 t:TRELLIS_FF
|
||||
select -assert-none t:TRELLIS_FF %% t:* %D
|
||||
13
tests/ecp5/div_mod.v
Normal file
13
tests/ecp5/div_mod.v
Normal file
|
|
@ -0,0 +1,13 @@
|
|||
module top
|
||||
(
|
||||
input [3:0] x,
|
||||
input [3:0] y,
|
||||
|
||||
output [3:0] A,
|
||||
output [3:0] B
|
||||
);
|
||||
|
||||
assign A = x % y;
|
||||
assign B = x / y;
|
||||
|
||||
endmodule
|
||||
12
tests/ecp5/div_mod.ys
Normal file
12
tests/ecp5/div_mod.ys
Normal file
|
|
@ -0,0 +1,12 @@
|
|||
read_verilog div_mod.v
|
||||
hierarchy -top top
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
|
||||
select -assert-count 28 t:CCU2C
|
||||
select -assert-count 26 t:L6MUX21
|
||||
select -assert-count 138 t:LUT4
|
||||
select -assert-count 60 t:PFUMX
|
||||
select -assert-none t:LUT4 t:CCU2C t:L6MUX21 t:PFUMX %% t:* %D
|
||||
23
tests/ecp5/dpram.v
Normal file
23
tests/ecp5/dpram.v
Normal file
|
|
@ -0,0 +1,23 @@
|
|||
/*
|
||||
Example from: https://www.latticesemi.com/-/media/LatticeSemi/Documents/UserManuals/EI/iCEcube201701UserGuide.ashx?document_id=52071 [p. 72].
|
||||
*/
|
||||
module top (din, write_en, waddr, wclk, raddr, rclk, dout);
|
||||
parameter addr_width = 8;
|
||||
parameter data_width = 8;
|
||||
input [addr_width-1:0] waddr, raddr;
|
||||
input [data_width-1:0] din;
|
||||
input write_en, wclk, rclk;
|
||||
output [data_width-1:0] dout;
|
||||
reg [data_width-1:0] dout;
|
||||
reg [data_width-1:0] mem [(1<<addr_width)-1:0]
|
||||
/* synthesis syn_ramstyle = "no_rw_check" */ ;
|
||||
always @(posedge wclk) // Write memory.
|
||||
begin
|
||||
if (write_en)
|
||||
mem[waddr] <= din; // Using write address bus.
|
||||
end
|
||||
always @(posedge rclk) // Read memory.
|
||||
begin
|
||||
dout <= mem[raddr]; // Using read address bus.
|
||||
end
|
||||
endmodule
|
||||
18
tests/ecp5/dpram.ys
Normal file
18
tests/ecp5/dpram.ys
Normal file
|
|
@ -0,0 +1,18 @@
|
|||
read_verilog dpram.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
memory -nomap
|
||||
equiv_opt -run :prove -map +/ecp5/cells_sim.v synth_ecp5
|
||||
memory
|
||||
opt -full
|
||||
|
||||
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||
|
||||
#Blocked by issue #1358 (Missing ECP5 simulation models)
|
||||
#ERROR: Failed to import cell gate.mem.0.0.0 (type DP16KD) to SAT database.
|
||||
#sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
|
||||
|
||||
design -load postopt
|
||||
cd top
|
||||
select -assert-count 1 t:DP16KD
|
||||
select -assert-none t:DP16KD %% t:* %D
|
||||
73
tests/ecp5/fsm.v
Normal file
73
tests/ecp5/fsm.v
Normal file
|
|
@ -0,0 +1,73 @@
|
|||
module fsm (
|
||||
clock,
|
||||
reset,
|
||||
req_0,
|
||||
req_1,
|
||||
gnt_0,
|
||||
gnt_1
|
||||
);
|
||||
input clock,reset,req_0,req_1;
|
||||
output gnt_0,gnt_1;
|
||||
wire clock,reset,req_0,req_1;
|
||||
reg gnt_0,gnt_1;
|
||||
|
||||
parameter SIZE = 3 ;
|
||||
parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
|
||||
|
||||
reg [SIZE-1:0] state;
|
||||
reg [SIZE-1:0] next_state;
|
||||
|
||||
always @ (posedge clock)
|
||||
begin : FSM
|
||||
if (reset == 1'b1) begin
|
||||
state <= #1 IDLE;
|
||||
gnt_0 <= 0;
|
||||
gnt_1 <= 0;
|
||||
end else
|
||||
case(state)
|
||||
IDLE : if (req_0 == 1'b1) begin
|
||||
state <= #1 GNT0;
|
||||
gnt_0 <= 1;
|
||||
end else if (req_1 == 1'b1) begin
|
||||
gnt_1 <= 1;
|
||||
state <= #1 GNT0;
|
||||
end else begin
|
||||
state <= #1 IDLE;
|
||||
end
|
||||
GNT0 : if (req_0 == 1'b1) begin
|
||||
state <= #1 GNT0;
|
||||
end else begin
|
||||
gnt_0 <= 0;
|
||||
state <= #1 IDLE;
|
||||
end
|
||||
GNT1 : if (req_1 == 1'b1) begin
|
||||
state <= #1 GNT2;
|
||||
gnt_1 <= req_0;
|
||||
end
|
||||
GNT2 : if (req_0 == 1'b1) begin
|
||||
state <= #1 GNT1;
|
||||
gnt_1 <= req_1;
|
||||
end
|
||||
default : state <= #1 IDLE;
|
||||
endcase
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
module top (
|
||||
input clk,
|
||||
input rst,
|
||||
input a,
|
||||
input b,
|
||||
output g0,
|
||||
output g1
|
||||
);
|
||||
|
||||
fsm u_fsm ( .clock(clk),
|
||||
.reset(rst),
|
||||
.req_0(a),
|
||||
.req_1(b),
|
||||
.gnt_0(g0),
|
||||
.gnt_1(g1));
|
||||
|
||||
endmodule
|
||||
14
tests/ecp5/fsm.ys
Normal file
14
tests/ecp5/fsm.ys
Normal file
|
|
@ -0,0 +1,14 @@
|
|||
read_verilog fsm.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
#ERROR: Found 4 unproven $equiv cells in 'equiv_status -assert'.
|
||||
#equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
equiv_opt -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 1 t:L6MUX21
|
||||
select -assert-count 15 t:LUT4
|
||||
select -assert-count 6 t:PFUMX
|
||||
select -assert-count 6 t:TRELLIS_FF
|
||||
select -assert-none t:L6MUX21 t:LUT4 t:PFUMX t:TRELLIS_FF %% t:* %D
|
||||
58
tests/ecp5/latches.v
Normal file
58
tests/ecp5/latches.v
Normal file
|
|
@ -0,0 +1,58 @@
|
|||
module latchp
|
||||
( input d, clk, en, output reg q );
|
||||
always @*
|
||||
if ( en )
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module latchn
|
||||
( input d, clk, en, output reg q );
|
||||
always @*
|
||||
if ( !en )
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
module latchsr
|
||||
( input d, clk, en, clr, pre, output reg q );
|
||||
always @*
|
||||
if ( clr )
|
||||
q <= 1'b0;
|
||||
else if ( pre )
|
||||
q <= 1'b1;
|
||||
else if ( en )
|
||||
q <= d;
|
||||
endmodule
|
||||
|
||||
|
||||
module top (
|
||||
input clk,
|
||||
input clr,
|
||||
input pre,
|
||||
input a,
|
||||
output b,b1,b2
|
||||
);
|
||||
|
||||
|
||||
latchp u_latchp (
|
||||
.en (clk ),
|
||||
.d (a ),
|
||||
.q (b )
|
||||
);
|
||||
|
||||
|
||||
latchn u_latchn (
|
||||
.en (clk ),
|
||||
.d (a ),
|
||||
.q (b1 )
|
||||
);
|
||||
|
||||
|
||||
latchsr u_latchsr (
|
||||
.en (clk ),
|
||||
.clr (clr),
|
||||
.pre (pre),
|
||||
.d (a ),
|
||||
.q (b2 )
|
||||
);
|
||||
|
||||
endmodule
|
||||
16
tests/ecp5/latches.ys
Normal file
16
tests/ecp5/latches.ys
Normal file
|
|
@ -0,0 +1,16 @@
|
|||
read_verilog latches.v
|
||||
design -save read
|
||||
|
||||
proc
|
||||
async2sync # converts latches to a 'sync' variant clocked by a 'super'-clock
|
||||
flatten
|
||||
synth_ecp5
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
|
||||
design -load read
|
||||
synth_ecp5
|
||||
cd top
|
||||
select -assert-count 4 t:LUT4
|
||||
select -assert-count 1 t:PFUMX
|
||||
select -assert-none t:LUT4 t:PFUMX %% t:* %D
|
||||
18
tests/ecp5/logic.v
Normal file
18
tests/ecp5/logic.v
Normal file
|
|
@ -0,0 +1,18 @@
|
|||
module top
|
||||
(
|
||||
input [0:7] in,
|
||||
output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
|
||||
);
|
||||
|
||||
assign B1 = in[0] & in[1];
|
||||
assign B2 = in[0] | in[1];
|
||||
assign B3 = in[0] ~& in[1];
|
||||
assign B4 = in[0] ~| in[1];
|
||||
assign B5 = in[0] ^ in[1];
|
||||
assign B6 = in[0] ~^ in[1];
|
||||
assign B7 = ~in[0];
|
||||
assign B8 = in[0];
|
||||
assign B9 = in[0:1] && in [2:3];
|
||||
assign B10 = in[0:1] || in [2:3];
|
||||
|
||||
endmodule
|
||||
7
tests/ecp5/logic.ys
Normal file
7
tests/ecp5/logic.ys
Normal file
|
|
@ -0,0 +1,7 @@
|
|||
read_verilog logic.v
|
||||
hierarchy -top top
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 9 t:LUT4
|
||||
select -assert-none t:LUT4 %% t:* %D
|
||||
25
tests/ecp5/macc.v
Normal file
25
tests/ecp5/macc.v
Normal file
|
|
@ -0,0 +1,25 @@
|
|||
/*
|
||||
Example from: https://www.latticesemi.com/-/media/LatticeSemi/Documents/UserManuals/EI/iCEcube201701UserGuide.ashx?document_id=52071 [p. 77].
|
||||
*/
|
||||
module top(clk,a,b,c,set);
|
||||
parameter A_WIDTH = 4;
|
||||
parameter B_WIDTH = 3;
|
||||
input set;
|
||||
input clk;
|
||||
input signed [(A_WIDTH - 1):0] a;
|
||||
input signed [(B_WIDTH - 1):0] b;
|
||||
output signed [(A_WIDTH + B_WIDTH - 1):0] c;
|
||||
reg [(A_WIDTH + B_WIDTH - 1):0] reg_tmp_c;
|
||||
assign c = reg_tmp_c;
|
||||
always @(posedge clk)
|
||||
begin
|
||||
if(set)
|
||||
begin
|
||||
reg_tmp_c <= 0;
|
||||
end
|
||||
else
|
||||
begin
|
||||
reg_tmp_c <= a * b + c;
|
||||
end
|
||||
end
|
||||
endmodule
|
||||
15
tests/ecp5/macc.ys
Normal file
15
tests/ecp5/macc.ys
Normal file
|
|
@ -0,0 +1,15 @@
|
|||
read_verilog macc.v
|
||||
proc
|
||||
hierarchy -top top
|
||||
#Failed because of 14 unproven cells.
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
#equiv_opt -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 4 t:CCU2C
|
||||
select -assert-count 6 t:L6MUX21
|
||||
select -assert-count 49 t:LUT4
|
||||
select -assert-count 19 t:PFUMX
|
||||
select -assert-count 7 t:TRELLIS_FF
|
||||
|
||||
select -assert-none t:CCU2C t:L6MUX21 t:LUT4 t:PFUMX t:TRELLIS_FF %% t:* %D
|
||||
21
tests/ecp5/memory.v
Normal file
21
tests/ecp5/memory.v
Normal file
|
|
@ -0,0 +1,21 @@
|
|||
module top
|
||||
(
|
||||
input [7:0] data_a,
|
||||
input [6:1] addr_a,
|
||||
input we_a, clk,
|
||||
output reg [7:0] q_a
|
||||
);
|
||||
// Declare the RAM variable
|
||||
reg [7:0] ram[63:0];
|
||||
|
||||
// Port A
|
||||
always @ (posedge clk)
|
||||
begin
|
||||
if (we_a)
|
||||
begin
|
||||
ram[addr_a] <= data_a;
|
||||
q_a <= data_a;
|
||||
end
|
||||
q_a <= ram[addr_a];
|
||||
end
|
||||
endmodule
|
||||
19
tests/ecp5/memory.ys
Normal file
19
tests/ecp5/memory.ys
Normal file
|
|
@ -0,0 +1,19 @@
|
|||
read_verilog memory.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
memory -nomap
|
||||
equiv_opt -run :prove -map +/ecp5/cells_sim.v synth_ecp5
|
||||
memory
|
||||
opt -full
|
||||
|
||||
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||
sat -verify -prove-asserts -seq 5 -set-init-zero -show-inputs -show-outputs miter
|
||||
|
||||
design -load postopt
|
||||
cd top
|
||||
select -assert-count 24 t:L6MUX21
|
||||
select -assert-count 71 t:LUT4
|
||||
select -assert-count 32 t:PFUMX
|
||||
select -assert-count 8 t:TRELLIS_DPR16X4
|
||||
select -assert-count 35 t:TRELLIS_FF
|
||||
select -assert-none t:L6MUX21 t:LUT4 t:PFUMX t:TRELLIS_DPR16X4 t:TRELLIS_FF %% t:* %D
|
||||
11
tests/ecp5/mul.v
Normal file
11
tests/ecp5/mul.v
Normal file
|
|
@ -0,0 +1,11 @@
|
|||
module top
|
||||
(
|
||||
input [5:0] x,
|
||||
input [5:0] y,
|
||||
|
||||
output [11:0] A,
|
||||
);
|
||||
|
||||
assign A = x * y;
|
||||
|
||||
endmodule
|
||||
11
tests/ecp5/mul.ys
Normal file
11
tests/ecp5/mul.ys
Normal file
|
|
@ -0,0 +1,11 @@
|
|||
read_verilog mul.v
|
||||
hierarchy -top top
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 6 t:CCU2C
|
||||
select -assert-count 46 t:L6MUX21
|
||||
select -assert-count 169 t:LUT4
|
||||
select -assert-count 72 t:PFUMX
|
||||
|
||||
select -assert-none t:CCU2C t:L6MUX21 t:LUT4 t:PFUMX %% t:* %D
|
||||
100
tests/ecp5/mux.v
Normal file
100
tests/ecp5/mux.v
Normal file
|
|
@ -0,0 +1,100 @@
|
|||
module mux2 (S,A,B,Y);
|
||||
input S;
|
||||
input A,B;
|
||||
output reg Y;
|
||||
|
||||
always @(*)
|
||||
Y = (S)? B : A;
|
||||
endmodule
|
||||
|
||||
module mux4 ( S, D, Y );
|
||||
|
||||
input[1:0] S;
|
||||
input[3:0] D;
|
||||
output Y;
|
||||
|
||||
reg Y;
|
||||
wire[1:0] S;
|
||||
wire[3:0] D;
|
||||
|
||||
always @*
|
||||
begin
|
||||
case( S )
|
||||
0 : Y = D[0];
|
||||
1 : Y = D[1];
|
||||
2 : Y = D[2];
|
||||
3 : Y = D[3];
|
||||
endcase
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
module mux8 ( S, D, Y );
|
||||
|
||||
input[2:0] S;
|
||||
input[7:0] D;
|
||||
output Y;
|
||||
|
||||
reg Y;
|
||||
wire[2:0] S;
|
||||
wire[7:0] D;
|
||||
|
||||
always @*
|
||||
begin
|
||||
case( S )
|
||||
0 : Y = D[0];
|
||||
1 : Y = D[1];
|
||||
2 : Y = D[2];
|
||||
3 : Y = D[3];
|
||||
4 : Y = D[4];
|
||||
5 : Y = D[5];
|
||||
6 : Y = D[6];
|
||||
7 : Y = D[7];
|
||||
endcase
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
module mux16 (D, S, Y);
|
||||
input [15:0] D;
|
||||
input [3:0] S;
|
||||
output Y;
|
||||
|
||||
assign Y = D[S];
|
||||
|
||||
endmodule
|
||||
|
||||
|
||||
module top (
|
||||
input [3:0] S,
|
||||
input [15:0] D,
|
||||
output M2,M4,M8,M16
|
||||
);
|
||||
|
||||
mux2 u_mux2 (
|
||||
.S (S[0]),
|
||||
.A (D[0]),
|
||||
.B (D[1]),
|
||||
.Y (M2)
|
||||
);
|
||||
|
||||
|
||||
mux4 u_mux4 (
|
||||
.S (S[1:0]),
|
||||
.D (D[3:0]),
|
||||
.Y (M4)
|
||||
);
|
||||
|
||||
mux8 u_mux8 (
|
||||
.S (S[2:0]),
|
||||
.D (D[7:0]),
|
||||
.Y (M8)
|
||||
);
|
||||
|
||||
mux16 u_mux16 (
|
||||
.S (S[3:0]),
|
||||
.D (D[15:0]),
|
||||
.Y (M16)
|
||||
);
|
||||
|
||||
endmodule
|
||||
11
tests/ecp5/mux.ys
Normal file
11
tests/ecp5/mux.ys
Normal file
|
|
@ -0,0 +1,11 @@
|
|||
read_verilog mux.v
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 32 t:LUT4
|
||||
select -assert-count 8 t:L6MUX21
|
||||
select -assert-count 14 t:PFUMX
|
||||
|
||||
select -assert-none t:LUT4 t:L6MUX21 t:PFUMX %% t:* %D
|
||||
18
tests/ecp5/rom.v
Normal file
18
tests/ecp5/rom.v
Normal file
|
|
@ -0,0 +1,18 @@
|
|||
/*
|
||||
Example from: https://www.latticesemi.com/-/media/LatticeSemi/Documents/UserManuals/EI/iCEcube201701UserGuide.ashx?document_id=52071 [p. 74].
|
||||
*/
|
||||
module top(data, addr);
|
||||
output [3:0] data;
|
||||
input [4:0] addr;
|
||||
always @(addr) begin
|
||||
case (addr)
|
||||
0 : data = 'h4;
|
||||
1 : data = 'h9;
|
||||
2 : data = 'h1;
|
||||
15 : data = 'h8;
|
||||
16 : data = 'h1;
|
||||
17 : data = 'h0;
|
||||
default : data = 'h0;
|
||||
endcase
|
||||
end
|
||||
endmodule
|
||||
9
tests/ecp5/rom.ys
Normal file
9
tests/ecp5/rom.ys
Normal file
|
|
@ -0,0 +1,9 @@
|
|||
read_verilog rom.v
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 6 t:LUT4
|
||||
select -assert-count 3 t:PFUMX
|
||||
select -assert-none t:LUT4 t:PFUMX %% t:* %D
|
||||
20
tests/ecp5/run-test.sh
Executable file
20
tests/ecp5/run-test.sh
Executable file
|
|
@ -0,0 +1,20 @@
|
|||
#!/usr/bin/env bash
|
||||
set -e
|
||||
{
|
||||
echo "all::"
|
||||
for x in *.ys; do
|
||||
echo "all:: run-$x"
|
||||
echo "run-$x:"
|
||||
echo " @echo 'Running $x..'"
|
||||
echo " @../../yosys -ql ${x%.ys}.log $x -w 'Yosys has only limited support for tri-state logic at the moment.'"
|
||||
done
|
||||
for s in *.sh; do
|
||||
if [ "$s" != "run-test.sh" ]; then
|
||||
echo "all:: run-$s"
|
||||
echo "run-$s:"
|
||||
echo " @echo 'Running $s..'"
|
||||
echo " @bash $s"
|
||||
fi
|
||||
done
|
||||
} > run-test.mk
|
||||
exec ${MAKE:-make} -f run-test.mk
|
||||
22
tests/ecp5/shifter.v
Normal file
22
tests/ecp5/shifter.v
Normal file
|
|
@ -0,0 +1,22 @@
|
|||
module top (
|
||||
out,
|
||||
clk,
|
||||
in
|
||||
);
|
||||
output [7:0] out;
|
||||
input signed clk, in;
|
||||
reg signed [7:0] out = 0;
|
||||
|
||||
always @(posedge clk)
|
||||
begin
|
||||
`ifndef BUG
|
||||
out <= out >> 1;
|
||||
out[7] <= in;
|
||||
`else
|
||||
|
||||
out <= out << 1;
|
||||
out[7] <= in;
|
||||
`endif
|
||||
end
|
||||
|
||||
endmodule
|
||||
10
tests/ecp5/shifter.ys
Normal file
10
tests/ecp5/shifter.ys
Normal file
|
|
@ -0,0 +1,10 @@
|
|||
read_verilog shifter.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
|
||||
select -assert-count 8 t:TRELLIS_FF
|
||||
select -assert-none t:TRELLIS_FF %% t:* %D
|
||||
23
tests/ecp5/tribuf.v
Normal file
23
tests/ecp5/tribuf.v
Normal file
|
|
@ -0,0 +1,23 @@
|
|||
module tristate (en, i, o);
|
||||
input en;
|
||||
input i;
|
||||
output o;
|
||||
|
||||
assign o = en ? i : 1'bz;
|
||||
|
||||
endmodule
|
||||
|
||||
|
||||
module top (
|
||||
input en,
|
||||
input a,
|
||||
output b
|
||||
);
|
||||
|
||||
tristate u_tri (
|
||||
.en (en ),
|
||||
.i (a ),
|
||||
.o (b )
|
||||
);
|
||||
|
||||
endmodule
|
||||
9
tests/ecp5/tribuf.ys
Normal file
9
tests/ecp5/tribuf.ys
Normal file
|
|
@ -0,0 +1,9 @@
|
|||
read_verilog tribuf.v
|
||||
hierarchy -top top
|
||||
proc
|
||||
flatten
|
||||
equiv_opt -assert -map +/ecp5/cells_sim.v -map +/simcells.v synth_ecp5 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 1 t:$_TBUF_
|
||||
select -assert-none t:$_TBUF_ %% t:* %D
|
||||
Loading…
Add table
Add a link
Reference in a new issue