mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-22 02:57:51 +00:00
Add some simple SVA test cases for future Verific work
This commit is contained in:
parent
2785aaffeb
commit
024ba310ec
4 changed files with 45 additions and 0 deletions
7
tests/sva/basic00.sv
Normal file
7
tests/sva/basic00.sv
Normal file
|
@ -0,0 +1,7 @@
|
|||
module top (input clk, reset, antecedent, output reg consequent);
|
||||
always @(posedge clk)
|
||||
consequent <= reset ? 0 : antecedent;
|
||||
|
||||
test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
|
||||
else $error("Failed with consequent = ", $sampled(consequent));
|
||||
endmodule
|
Loading…
Add table
Add a link
Reference in a new issue